CN101174086B - 使用蚀刻反应器蚀刻纳米压印模板 - Google Patents

使用蚀刻反应器蚀刻纳米压印模板 Download PDF

Info

Publication number
CN101174086B
CN101174086B CN200710149503.5A CN200710149503A CN101174086B CN 101174086 B CN101174086 B CN 101174086B CN 200710149503 A CN200710149503 A CN 200710149503A CN 101174086 B CN101174086 B CN 101174086B
Authority
CN
China
Prior art keywords
etching
layer
additive material
containing gas
anticorrosive additive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200710149503.5A
Other languages
English (en)
Other versions
CN101174086A (zh
Inventor
马德哈唯·R·钱德拉乔德
阿杰伊·库玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101174086A publication Critical patent/CN101174086A/zh
Application granted granted Critical
Publication of CN101174086B publication Critical patent/CN101174086B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本发明的实施方式提供一些利用经过压印的抗蚀剂材料而蚀刻金属层的方法。在一个实施方式中,一种处理光刻中间掩模的方法包括:提供具有形成于光学透明衬底上的金属光掩模层以及沉积在金属光掩模层上的压印抗蚀剂材料的中间掩模,在第一蚀刻步骤中蚀刻经过压印的抗蚀剂材料的凹陷区域以暴露部分金属光掩模层,以及在第二蚀刻步骤中透过经过压印的抗蚀剂材料蚀刻金属光掩模层的暴露部分,其中第一或第二蚀刻步骤的至少其中之一利用从包含含氧、含卤素以及含氢气体的处理气体形成的等离子体。在一个实施方式中,在第一和第二蚀刻步骤中都利用工艺气体。在另一实施方式中,第一和第二蚀刻步骤在同一处理腔室中执行。

Description

使用蚀刻反应器蚀刻纳米压印模板
技术领域
本发明的具体实施涉及利用纳米压印技术的结构的加工。
背景技术
紫外纳米压印技术用于进行微米和纳米结构的加工。纳米压印是一种机械复制技术,其中模具被压入旋涂于基板上的紫外固化抗蚀剂中。通过压印区内所述模具的抗蚀剂的紫外光照射导致抗蚀剂的聚合和固化。然后取走所述模具,从而留下在抗蚀剂中形成的图案的的倒置三维复制品(inverted threedimensional replica)。取走所述压印后的抗蚀剂中的多个薄层部分,以在抗蚀剂层产生多个开口,形成用于蚀刻在抗蚀剂层下面的一个或多个层的掩模。这一技术使高速高精度压印降至10nm的间隔,并使图形保真度达到一个很大的程度。
然而,大多数传统的蚀刻过程,如趋于等向性地蚀刻的湿刻,无法清晰地传递如此小的图形到下层材料上。尤其,底切现象将在蚀刻后的下层材料上产生未均匀间隔并且不具有所需的垂直侧壁的构图后的部件,从而器件的临界尺寸损失(loss)。此外,对于部件的等向性蚀刻将以高深宽比过蚀刻部件的侧壁,导致失去部件的临界尺寸。
等离子体蚀刻工艺,即干刻工艺或干刻,提供了一种较湿刻工艺更加非等向性的蚀刻方法。干刻工艺已经通过更直的侧壁和更平的底面表现出产生更小的底切,同时提高保持使用传统光刻技术形成的光掩模部件的临界尺寸。然而,干刻可能会过蚀刻或者不精确地蚀刻在抗蚀剂材料中形成的开口或者图案的侧壁,该开口或者图案用于限定下层的临界尺寸。过度去除抗蚀剂材料的侧面将导致构图后的抗蚀剂部件的临界尺寸的损失,这将转变为在由构图后的抗蚀剂定义出的下层中形成的部件的临界尺寸的损失。而且,不精确的蚀刻将不能充分地蚀刻部件以提供必要的临界尺寸。未能将部件充分地蚀刻至临界尺寸被称为临界尺寸的“增益”。金属层临界尺寸的损失或增加程度被称为“蚀刻偏差(etching bias)”或“CD偏差(CD bias)”。
尽管临界尺寸损失控制是基本上所有蚀刻应用所关注的,但在光掩模加工中这是一个尤其显著的问题。在包括光掩模中间掩模(photomask reticle)的下层中形成的图案的临界尺寸损失或者增益能够对光的通过产生极恶劣的影响并且产生大量的构图缺陷并且在由光刻中间掩模构图的衬底上产生随后的蚀刻缺陷。光掩模的临界尺寸的损失或增加将导致蚀刻高深宽比亚微米部件的光刻性能不足,并且,如果临界尺寸的损失或增加足够严重,会导致光刻中间掩模或最终蚀刻器件的失败。因为纳米压印技术会产生狭小的孔,所以传统的蚀刻技术无法使得有效图案以稳定光掩模加工能够接受的程度转移至在压印的抗蚀剂下面的层。
因此,仍需要一种适用于应用纳米压印技术的结构的制造的方法和化学物质(chemistry)。
发明内容
本发明提供了应用压印后的抗蚀剂材料蚀刻金属层的一些方法。在这里所提供的方法特别适合光掩模中间掩模加工,但不仅限于此。
在一个实施方式中,提供了一种用于处理光刻中间掩模的方法,该方法包括:提供具有形成于光学透明衬底上的金属光掩模层以及沉积于金属光掩模层上的压印后的抗蚀剂材料的中间掩模,在第一蚀刻步骤中蚀刻经过压印的抗蚀剂材料的凹陷区域以暴露部分金属光掩模层,以及在第二蚀刻步骤中透过经过压印的抗蚀剂材料而蚀刻金属光掩模层的暴露部分,其中第一蚀刻或第二蚀刻步骤的至少其中之一利用由包括含氧气体、含氯气体以及含卤素气体的工艺气体形成的等离子体。
在另一实施方式中,用于第一和第二蚀刻步骤中的工艺气体包括含氧气体、含氯气体以及含卤素气体。
在另一实施方式中,用于第一和第二蚀刻步骤中的工艺气体包括不同比例的含氧气体、含氯气体以及含卤素气体。
在另一实施方式中,第一和第二蚀刻步骤在同一个处理腔室中进行。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施方式对以上简要所述的本发明进行更具体描述。
然而,应该注意,附图中只示出了本发明的典型实施方式,因此不能认为是对本发明范围的限定,本发明可以允许其他等效的实施方式。
图1示出了蚀刻腔室的一个实施方式的示意性截面图;
图2示出了根据本发明的一个实施方式的用于处理衬底的序列的流程图;以及
图3A-3G示出了本发明的另一个实施方式的蚀刻序列的示意性截面图。
为了便于理解,在此尽可能用相同的附图标记表示附图中相同的元件。无需进一步说明,一个实施方式的特征可有利地用在其它实施方式中。
具体实施方式
下面,将参照电感性耦合等离子体蚀刻处理腔室描述本发明的各方案。合适的电感性耦合等离子体蚀刻处理腔室包括:TetraTM系列光掩模蚀刻处理腔室,或可选择地,D ecoupled Plasma Source(DPS ITM,DPS IITM,以及DPSPlusTM)处理腔室,上述两种处理腔室都可从California(加利福尼亚州)SantaClara的Applied Materials,Inc.(应用材料有限公司)处得到。
其他处理腔室将用于执行本发明的工艺,包括诸如,电容性耦合平行平板腔室、磁增强离子蚀刻腔室,以及不同设计的电感性耦合等离子体蚀刻腔室。此类合适的处理腔室的例子在申请日为1999年6月3日的美国专利申请序列号No.09/325,026,申请日为2006年10月30日的U.S.专利申请序列号No.11/554,502,以及申请日为2006年10月30日的U.S.专利申请序列号No.11/554,495中公开。尽管所述工艺在TetraTM系列光掩模蚀刻腔室上的执行是有优势的,但对处理腔室的描述只是示例性的,并不应被解释或理解为对本发明任何一方面范围的限制。同时本发明也预期将有益地实施于在其他处理腔室,包括来自其他厂商的处理腔室。
图1是处理腔室100的一实施方式的示意性截面图,处理腔室100通常包括:具有衬底基架124的处理腔主体102,以及控制器146。处理腔主体102具有用于支撑基本上平的介电顶盖108的导电壁104。处理腔室100的其他实施方式可以具有其他类型的顶盖,如,拱形顶盖。天线110配置在顶盖108上方。天线110包含可以有选择地控制的一个或多个电感线圈元件(图1中示出两个同轴元件110a以及110b)。天线110通过第一匹配网络114与等离子体功率源112相耦合。等离子体功率源112在从大约50kHz至约13.56MHz范围内的可调频率电源下通常最多能产生约3000瓦(w)的功率。
衬底基架(阴极)124通过第二匹配网络142与偏置功率源140相耦合。偏置功率源140在从大约1至约10kHz范围内的可调脉冲频率下能产生从大约0至约600W之间的功率。偏置功率源140产生脉冲射频功率输出。作为另一种选择,偏置功率源140还可产生脉冲直流功率输出。而且,功率源140还可以提供恒定直流和/或射频功率输出。
在一个实施方式中,衬底支撑基架124包括静电夹盘160。静电夹盘160包含至少一个箝位电极132并由夹盘电源166控制。在另一实施方式中,衬底基架124可包含衬底保持机构,例如基座夹紧环、真空夹盘、机械夹盘等等。
气体仪表盘120与处理腔室100相耦合以为工艺腔体102内部提供工艺气体和/或其他气体。在图1描述的实施方式中,气体仪表盘120与在腔室主体102的侧壁104上的导槽118中形成的一个或多个入口116相耦合。一个或多个入口116可设置在其他位置,例如,在处理腔室100的顶盖108中。
处理腔体100中的压力是使用节流阀162和真空泵164来控制的。真空泵164和节流阀162能够将处理腔室的压力维持在大约1到约20m Torr的范围内。
壁104的温度将应用贯穿壁104的贮液导管(未示出)进行控制。壁温度一般维持在65摄氏度。典型情况下,腔室壁104用金属(例如:铝,不锈钢,等等)形成并与电学地106(electrical ground)相耦合。处理腔室100还包含用于工艺控制、故障自检、端点检测等等的传统系统。这些系统都集中表示为辅助系统154。
中间掩模适配器182用来将衬底(例如中间掩模或者其他工件)122固定在衬底支撑基架124上。中间掩模适配器182一般包括:磨制为覆盖基架124的上面层(例如,静电夹盘160)的下部184以及包括开口188的上部186,其中开口188的尺寸和形状都制成适于保持衬底122。开口188一般相对于基架124处于中央。适配器182一般由单片耐腐蚀、耐高温材料如聚酰亚胺陶瓷或石英形成。合适的中间掩模适配器公开在2001年6月26日授权的美国专利No.6,251,217中。边环126将适配器182覆盖和/或固定至基架124。
升降机构138用于降低或提升适配器182落入或离开衬底支撑基架124,并因此降低或提升衬底122落入或离开衬底支撑基架124。一般,升降机构138包含大量穿过各自导向孔136移动的升降杆(图中示出了一个升降杆130)。
在操作中,衬底122的温度是通过稳定衬底基架124的温度来控制的。在一实施方式中,衬底支撑基架124包含加热器144以及可选的散热片128。加热器144可以是一个或更个用以使传热流体通过其中的流体导管。在另一实施方式中,加热器144可以包括至少一个由加热器电源168调节的加热元件134。可选的,来自气体源156的背面气体(例如氦(He))是通过气体导管158供应到在衬底122之下的基架表面内形成的通道中。背面气体将用于促进基架124和衬底122之间的热传导。在处理过程中,基架124通过嵌入的加热器144加热到恒温,这与背面气体氦相结合,有利于均匀加热衬底122。
控制器146包含:中央处理器(CPU)150,CPU150的存储器148和辅助电路152,并且下面将详细说明控制器146便于处理腔室100元件的控制以及蚀刻过程的控制。控制器146可以是任何一种能应用在工业环境中控制各种腔室以及子处理器的通用计算机处理器。CPU 150的存储器148可以是一个或多个现有的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或者本地的或远程的任何其它形式的数字存储设备。辅助电路152以传统方式与CPU150相耦合以支持处理器。这些电路包括:高速缓存、电源、时钟电路、输入/输出电路以及子系统等等。本发明的方法通常存储在CPU150能够访问的存储器148或其它计算机可读介质中作为软件例程。作为另一种选择,这种软件例程也可以由第二CPU(未示出)存储和/或执行,该第二CPU远离离由CPU 150控制的硬件设置。
虽然下面的工艺描述示出了应用如此所述的工艺气体蚀刻衬底的一种实施方式,但是本发明还可以应用在此所述的范围之外的工艺参数以在不同的装置上执行该工艺,例如不同的蚀刻腔室,或不同的衬底尺寸,例如用于200mm和/或300mm衬底处理的光刻中间掩模。
示例性蚀刻工艺
虽然以下描述示出了用于蚀刻诸如铬或者铬的氮氧化物的金属层作为在光刻中间掩模制造中的光掩模的工艺序列的一个实施方式,预期蚀刻气体可用于蚀刻在半导体和光刻中间掩模制造工艺中形成于衬底上的其它材料层。
光刻中间掩模一般包括:不透明层,称为光掩模,沉积于光学透明衬底上。不透明层可包含金属层,例如铬,或在该领域中适于用做光掩模的其它已知或未知材料。例如,本发明预期不透明层将包含非金属介电材料。衬底122的光学透明材料涵盖很广,包括,但不限于,一种对300纳米(nm)或更短波长的光透明的材料,例如,对波长248nm以及193nm的紫外光透明。
图2示出了适合通过压印的(imprinted)抗蚀剂层蚀刻金属层的蚀刻工艺200的工艺次序的一个实施方式的流程图。所述流程图作说明之用,不应该被诠释为对本发明任何一个方案范围的限制。图3A-3G示出了在光掩模形成工艺中各观测点处光刻中间掩膜的组成同时进一步示出了在图2中所述的工艺。
通常包含诸如光学质量石英、熔融石英材料、钼硅化物(MoSi)、钼硅氮氧化物(MoSiXNYOZ)、氟化钙,氧化铝、蓝宝石及上述物质的组合的光学透明的材料310的衬底122在方框210中被提供到处理腔室,诸如图1中的处理腔室100。
衬底122具有作为金属光掩模层的不透明金属层320,典型情况下包含铬,设置在衬底材料310上,如图3A所示。铬层将通过本领域中熟知的传统方法沉积,诸如通过物理气相沉积(PVD)或者化学气相沉积(CVD)技术。金属层320典型沉积至50到100nm之间的厚度;然而,金属层320的厚度可根据制造商的要求以及衬底或金属层材料的成分而不同。
可选的,抗反射涂层(ARC或ARC层)形成于所沉积的金属层320之上或包含部分所沉积的金属层320。认为ARC层可改善待形成于不透明层中的构图部件(feature)的光刻精度。ARC层可以是金属层结合非金属污染物或杂质以形成,例如金属氮氧化物层,诸如铬氮氧化物。可在金属层的沉积期间或通过将金属层暴露于适宜的气氛中,诸如氧化或硝化环境,从而形成铬氮氧化物。可选地,铬氮氧化物层可通过本领域中已知的传统方法沉积,诸如通过物理气相沉积(PVD)或者化学气相沉积(CVD)技术。金属氮氧化物层最多可占金属层320整体厚度的25%。
可选的ARC层一般形成大约10nm到约15nm之间的厚度;然而,层的厚度可根据制造商的要求以及衬底或金属层材料的成分而不同,并可能主要集中在沉积材料的上表面中,诸如最初金属层320厚度的上面30%。在氧自由基蚀刻方面,铬氧氮化物膜被公认较铬膜更敏感。较蚀刻体残留铬材料而言,处理气体中氧减少(reduced)量将用于有效地蚀刻铬氧氮化物表面。
在方框220中抗蚀剂层330A沉积在金属层320上。在一个实施方式中,抗蚀剂层材料一般为低温抗蚀剂材料,所述低温抗蚀剂材料在这里被定义为在大约250摄氏度以上热退化的聚合材料,这种材料的实施例包括由Hoya公司制造的“ZEP”等。抗蚀剂层330A可通过旋涂或其它方法施加到金属层320上。抗蚀剂材料330A将以在大约200nm到约600nm之间的厚度沉积在金属层320之上,如图3B所示。
在方框230中,压印抗蚀剂层330A以形成压印的抗蚀剂层330B,如在图3C-D中依次所示。在一个实施方式中,抗蚀剂层330A被模具(mold)390的构图表面所接触。软抗蚀剂材料的层330A与构图的模具表面392一致。
在一个实施方式中,模具390一般是由可传送固化抗蚀剂材料的能量的材料所制成。提供能量以固化与模具390保持接触的抗蚀剂材料,从而当去除模具390时,图案392在固化的抗蚀剂材料上留下了反向印迹394以形成压印抗蚀剂层330B。印迹394通常包括被大量凸表面396环绕的凹表面,如图3D所示。凹表面398可共面(例如,相对于凸表面396有一个相同的深度)或者可能在不同的高度相互平行。
在方框240中,衬底122随后被转移到蚀刻腔室中,诸如以上所述的处理腔室100,用于形成构图的抗蚀剂掩模并蚀刻金属层320。在一个实施方式中,金属层320中的开口或图案通过蚀刻压印的抗蚀剂层330B形成。由凹表面398限定的压印抗蚀剂层330B的区域(后面将称为“图案325”)被蚀刻透以暴露金属层320的部分,从而由压印的抗蚀剂层330B形成了构图的掩模330C,如图3E所示。可使用任何适宜的化学物质,或与用于蚀刻以下所述的金属层320相同的化学物质而蚀刻压印抗蚀剂层330B,以形成具有图案325的尺寸的开口335。利用相同的化学物质来蚀刻压印抗蚀剂层330B和金属层320将有利地减少了所需的工艺气体种类,同时简化了腔室设计。这带来了成本和产量方面的优势,原因在于可除去额外的气体、气体连接以及净化循环。
可选地,可在放置到处理腔室100之前通过光学形式应用激光构图器件或通过诸如电子束发射器的另一种辐射能量构图器件,形成构图的掩模330C,以形成用于限定待形成于金属层320中的特征定义的尺寸的图案325。
然后,在方框250,在处理腔室100中蚀刻不透明的金属层320。通过蚀刻金属层以暴露下面的光学透明衬底材料,以及可选的,ARC层,并通过延伸开口335,将图案(即,图案325的尺寸)转移到金属层320,如图3F所示。
蚀刻不透明金属层320的暴露部分是通过供应源功率和/或偏置功率到处理腔室100而产生处理气体的等离子体来进行的。蚀刻金属层320(以及,在一个实施方式中,压印的抗蚀剂层330B)的处理气体一般包括:含氧气体、含氯气体以及含卤素气体。在一个实施方式中,处理气体中不含惰性气体。
含氧气体中可包括氧(O、O2,或O3)、一氧化碳(CO)或二氧化碳(CO2)等的至少其中之一。在一个实施方式中,含氧气体为氧气(O2)。含氧气体提供蚀刻自由基源。当一氧化碳(CO)和二氧化碳(CO2)气体被选择时,将提供形成钝化聚合沉积物的材料源,所述材料源可改善蚀刻锥度(etch bias)。
含氯气体中可包括氯气(Cl2)、四氯化碳(CCl4)或氯化氢(HCl)等的至少其中之一。在一个实施方式中,含氯气体为Cl2。含氯气体用于供应高活性自由基以蚀刻金属层。含氯气体提供蚀刻自由基和成分的来源,诸如四氯化碳(CCl4)气体,所述来源提供形成钝化聚合沉积物的材料源,从而可改善蚀刻锥度。
含卤素气体可包括溴化氢(HBr)、碘化氢(HI)、三氟甲烷(CHF3)、六氟化硫(SF6)、六氟乙烷(C2F6)或氨(NH3)等的至少一种。可选择含卤素气体以增加铬对抗蚀剂的蚀刻选择性,并降低蚀刻锥度。
在一种实施方式中,含卤素气体为溴化氢(HBr)。溴化氢也可以从水溶液中传输以处理或含有水成分作为氢溴酸。含卤素气体可用于提供蚀刻金属层的活性自由基以及氢,所述活性自由基以及氢可降低抗蚀剂和金属蚀刻速度并使抗蚀剂和金属侧壁钝化以使过蚀刻最小并保持所需的临界尺寸,以及改善蚀刻锥度。
含氯气体和含卤素气体将以含氯气体比含卤素气体为大约10∶1至约0.5∶1的摩尔比提供,例如氯比溴化氢为大约10∶1至约0.5∶1的摩尔比例。
可选地,处理气体还可包括惰性气体,当所述惰性气体被离子化为包括处理气体的部分等离子体时,将产生溅射物质以提高部件的蚀刻速率。惰性气体作为部分等离子体存在也可增强活性处理气体的分解。因此,惰性气体有助于控制自由基蚀刻速率。蚀刻速率可控制为中心快或中心慢类型。惰性气体的例子包括:氩(Ar)、氦(He)、氖(Ne)、氙(Xe)、氪(Kr)及上述物质的组合,其中经常使用氩和氦。惰性气体,当使用时,可占大约5%体积百分比和约40%体积百分比之间的体积浓度,如占用于工艺的总气体流量的的大约15%体积百分比和约25%体积百分比之间的体积浓度。由于在引入蚀刻处理气体之前等离子体轰击以激发等离子体,惰性气体可占所用的工艺气体的大约15%体积百分比和至约25%体积百分比之间的体积浓度。
包括惰性气体的处理气体的总流速将以大约40sccm和约2100sccm之间的流速引入到腔室100,用于蚀刻150nm乘150nm的方形光刻中间掩模。含氧气体将以大约5sccm和约1000sccm之间的流速引入到处理腔室100,例如大约20-50sccm。含氯气体将以大约25sccm和约1000sccm之间的流速引入到处理腔室100中,例如大约150-300sccm。含卤素气体将以大约0sccm和约100sccm之间的流速引入到处理腔室100中,例如大约1-5sccm。当利用惰性气体时,将提供约5sccm和约100sccm之间的流速,例如20-45sccm。
处理气体中单一和总气体流量可根据很多处理因素而变化,如处理腔室100的大小、被处理衬底122的大小和操作者所需的特殊蚀刻外形。
一般地,将大约15000瓦特或更低的RF源功率大小施加到电感线圈以在蚀刻工艺期间形成并维持处理气体的等离子体。已经发现大约0瓦特和约1500瓦特之间的功率大小,如在大约0和800瓦特之间或约300-350瓦特,可提供用于蚀刻衬底表面的处理气体中的充足等离子体。所述RF源功率值已经被发现用于从处理气体中产生充足的蚀刻自由基和聚合自由基用以蚀刻设置在衬底上的暴露金属层,同时,与现有技术金属蚀刻工艺相比,提供充分的低功率值,原因在于衬底温度在大约150摄氏度或更低。
一般地,低于200瓦特的偏置功率将施加到衬底122以增加关于衬底122表面的蚀刻自由基的方向性。在蚀刻工艺中可使用低于约100瓦特的偏置功率。已经发现大约15瓦特和20瓦特之间的偏压可在蚀刻工艺期间提供蚀刻自由基的充足的方向性。
一般地,处理腔室的压力维持在大约1毫托至约40毫托之间。在一个实施方式中,在蚀刻工艺期间压力维持在大约3毫托和约8毫托之间。
在处理期间,衬底122还被维持在大约150摄氏度或更低的温度上。低于约150摄氏度或更低的衬底温度具有最小的材料热降解,诸如抗蚀剂材料,在具有在此所述的处理气体的光刻中间掩模制造期间沉积在衬底上。在大约20摄氏度和约100摄氏度之间的衬底温度,例如在大约20摄氏度和约50摄氏度之间,可用于以沉积在衬底表面上的材料的最小热降解蚀刻光掩模部件。
以下描述蚀刻工艺的一个实施例。衬底122设置在支架构件124上以及在此所述的处理气体引入到腔室100中以及产生或维持等离子体以通过引入由含氧气体、含氯气体和含卤素气体组成的处理气体以及从处理气体产生等离子体而蚀刻金属层320。在蚀刻工艺期间,通过施加源RF功率到电感线圈而产生等离子体,以产生并维持处理气体的等离子体。将偏置功率应用到衬底支架124。执行蚀刻工艺以蚀刻透过压印的抗蚀剂层330B的凹陷区域,然后利用由处理气体形成的并不消弧(extinguishing)的等离子体蚀刻透过金属层320。可选地,在抗蚀剂和金属蚀刻步骤中,可将工艺气体成分的流量比率调整为不同的。可通过发光终点控制器监控金属层320的蚀刻工艺终点。
可选地,如果在此所述的ARC材料形成于金属层上,则在金属层蚀刻工艺期间ARC材料与金属层一起去除或在金属层蚀刻之前通过蚀刻工艺去除。在2004年3月18日提交的题目为“Multi-Step Process For Etching Photomasks”美国专利申请No.10/803,867中更详细地描述了ARC蚀刻工艺以及金属层蚀刻工艺的实施例。
在此所述的蚀刻工艺将压印的部件光刻胶层的临界尺寸良好地转移到铬层。
在方框260中,在完成金属层320的蚀刻之后,将层330C的剩余抗蚀剂材料从衬底122去除。通过暴露于氧等离体工艺或其他抗蚀剂去除技术,去除光刻胶材料,如图3G所示。
可选地,衰减材料可用于形成衰减相移光掩模,以通过提高穿过光掩模的光的分辨率而增加形成于衬底上的蚀刻图案的精度。衰减材料,诸如钼硅化物(MoSi)或钼硅化物的衍生物可设置在不透明金属层320和光学透明衬底表面310之间。在光学透明衬底的制造期间,衰减材料可设置在光学透明衬底上或集成到光学透明衬底中。例如,在方框270中,如果衰减材料在金属层320的沉积之前设置在衬底表面上,则可通过在现在构图的金属层320上沉积并构图第二抗蚀剂材料而形成衰减材料,以暴露下层材料。如前所述,可通过压印及蚀刻或通过传统技术构图第二抗蚀剂材料。之后,在方框280,衰减材料的下层材料,或者如果适当的话所暴露衬底自身,将由适于这种材料的蚀刻气体蚀刻。
在申请日2003年5月13日提交的题目为“Methods For EtchingPhotolithographic Reticles”美国专利申请No.10/437,729和2002年5月21日提交的美国专利号No.6,391,790中更详细地描述了蚀刻光学透明材料,诸如硅基材料,以及衬底122的衰减材料的实施例。
上述处理气体成分以及处理制度提供具有所需的临界尺寸的开口或图案的可控蚀刻。开口或图案的蚀刻一般各向异性,由于所述处理气体的使用。与在开口侧壁的材料相比,各向异性工艺以更高的速率去除沉积在开口的底部上的材料。这样开口侧壁上的材料以比开口的底部上的材料较低的速率去除。以较低速率蚀刻开口侧壁的蚀刻工艺将以更小的可能性过蚀刻侧壁,这会改善已蚀刻过的开口的临界尺寸的保持,并因此降低蚀刻锥度。
虽然前述涉及本发明的实施方式,但在不偏离本发明的基本范围内可设计其它和进一步的实施方式,并且本发明的范围由以下权利要求书确定。

Claims (18)

1.一种用于处理光刻中间掩模的方法,包括:
在设置于中间掩模上的抗蚀剂材料层上放置模具,该中间掩模具有形成于光学透明衬底上的金属光掩模层;
在所述中间掩模上固化所述抗蚀剂材料;
去除所述模具以在固化的抗蚀剂材料上留下印迹;
将经过压印的中间掩模放置在处理腔室中的支架构件上;
使用在所述处理腔室中形成的等离子体,蚀刻经过压印的抗蚀剂材料的凹陷区域以暴露部分所述金属光掩模层,从而形成构图的掩模;以及
应用所述等离子体以通过所述构图的掩模蚀刻所述金属光掩模层的暴露部分;
其中所述金属光掩模层是铬层或铬的氮氧化物层,其中所述等离子体由包括一氧化碳或二氧化碳气体、含氯气体和含卤素气体的处理气体形成,并且所述含氯气体和所述含卤素气体以10:1至0.5:1之间的摩尔比例提供,其中所述含氯气体包括氯气、四氯化碳和氯化氢中的至少一种,并且所述含卤素气体包括溴化氢、碘化氢、三氟甲烷、六氟化硫和六氟乙烷中的至少一种。
2.根据权利要求1所述的方法,其特征在于,固化步骤进一步包括:
传送透过所述模具固化所述抗蚀剂材料的能量以固化与所述模具保持接触的所述抗蚀剂材料。
3.根据权利要求1所述的方法,其特征在于,蚀刻经过压印的抗蚀剂材料的凹陷区域的步骤进一步包括:
提供惰性气体到所述处理气体中,所述惰性气体占总处理气体体积的5%到40%之间。
4.根据权利要求1所述的方法,其特征在于,蚀刻经过压印的抗蚀剂材料的凹陷区域并蚀刻所述金属光掩模层的暴露部分的步骤在处理腔室中原处执行。
5.根据权利要求1所述的方法,其特征在于,所述方法进一步包括:
将抗蚀剂材料的第二层沉积于经过蚀刻的金属光掩模层上;
构图所述抗蚀剂材料的第二层以暴露衰减材料,由此形成构图的抗蚀剂材料的第二层;以及
通过所述构图的抗蚀剂材料的第二层来等离子蚀刻所述衰减材料的暴露部分以形成相移光掩模,其中所述衰减材料设置在所述金属光掩模层和所述光学透明衬底的表面之间。
6.根据权利要求5所述的方法,其特征在于,构图所述抗蚀剂材料的第二层的步骤进一步包括:
压印所述抗蚀剂材料的第二层;
蚀刻经过压印的抗蚀剂材料第二层的凹陷区域以暴露部分衰减材料。
7.一种处理光刻中间掩模的方法,包括:
将中间掩模放置在处理腔室的支架构件上,其中所述中间掩模包括形成于光学透明衬底上的金属光掩模层和沉积于所述金属光掩模层之上的经过压印的抗蚀剂材料,其中所述经过压印的抗蚀剂材料的形成包括传送透过模具固化抗蚀剂材料的能量以固化与所述模具保持接触的所述抗蚀剂材料;
将包括一氧化碳或二氧化碳气体、含氯气体和含卤素气体的处理气体引入到所述处理腔室中;
利用由所述处理气体形成的等离子体,蚀刻经压印的抗蚀剂材料的凹陷区域以暴露部分所述金属光掩模层,从而形成构图的掩模;以及
利用所述等离子体通过所述构图的掩模而蚀刻所述金属光掩模层的暴露部分;
其中所述金属光掩模层是铬层或铬的氮氧化物层,其中通过所提供到处理腔室中的由包括所述一氧化碳或二氧化碳气体、所述含氯气体和所述含卤素气体的所述处理气体形成的等离子体来蚀刻所述金属光掩模层的暴露部分,并且所述含氯气体和所述含卤素气体以10:1至0.5:1之间的摩尔比例提供,其中所述含氯气体包括氯气、四氯化碳和氯化氢中的至少一种,并且所述含卤素气体包括溴化氢、碘化氢、三氟甲烷、六氟化硫和六氟乙烷中的至少一种。
8.根据权利要求7所述的方法,其特征在于,蚀刻经压印的抗蚀剂材料的凹陷区域的步骤进一步包括:
由一氧化碳或二氧化碳气体、含卤素气体以及含氯气体形成所述等离子体。
9.根据权利要求8所述的方法,其特征在于,所述蚀刻经压印的抗蚀剂材料的凹陷区域的步骤进一步包括:
与所述一氧化碳或二氧化碳气体、所述含卤素气体以及所述含氯气体一起提供惰性气体,所述惰性气体占用于形成所述等离子体的总气体体积的5%至40%。
10.根据权利要求8所述的方法,其特征在于,所述等离子体基本不包括惰性气体。
11.根据权利要求8所述的方法,其特征在于,用于蚀刻所述金属光掩模层的暴露部分的所述处理气体进一步包括:
由一氧化碳或二氧化碳气体、所述含卤素气体以及所述含氯气体形成等离子体。
12.根据权利要求7所述的方法,其特征在于,蚀刻经压印的抗蚀剂材料的凹陷区域以及蚀刻所述金属光掩模层的暴露部分的步骤在处理腔室中原位执行。
13.根据权利要求7所述的方法,其特征在于,所述方法进一步包括:
将抗蚀剂材料的第二层沉积在经蚀刻的金属光掩模层之上;
构图所述抗蚀剂材料的第二层以暴露衰减材料,由此形成构图的抗蚀剂材料的第二层;以及
通过所述构图的抗蚀剂材料的第二层来等离子蚀刻所述衰减材料的暴露部分以形成相移光掩模,其中所述衰减材料设置在所述金属光掩模层和所述光学透明衬底的表面之间。
14.根据权利要求13所述的方法,其特征在于,构图所述抗蚀剂材料的第二层的步骤进一步包括:
压印所述抗蚀剂材料的第二层。
15.一种处理光刻中间掩模的方法,包括:
提供一个中间掩模,该中间掩模具有形成于光学透明衬底之上的金属光掩模层以及沉积于所述金属光掩模层之上的经压印的抗蚀剂材料,其中所述经压印的抗蚀剂材料的形成包括传送透过模具固化抗蚀剂材料的能量以固化与所述模具保持接触的所述抗蚀剂材料;
在第一蚀刻步骤中,蚀刻经压印的抗蚀剂材料的凹陷区域以暴露部分所述金属光掩模层,从而形成构图的掩模;以及
在第二蚀刻步骤中,通过所述构图的掩模而蚀刻所述金属光掩模层的暴露部分;
其中所述金属光掩模层是铬层或铬的氮氧化物层,其中所述第一或第二蚀刻步骤中的至少其中之一利用由包括一氧化碳或二氧化碳气体、含氯气体以及含卤素气体的处理气体形成的等离子体,其中所述含氯气体和所述含卤素气体以10:1至0.5:1之间的摩尔比例提供,其中所述含氯气体包括氯气、四氯化碳和氯化氢中的至少一种,并且所述含卤素气体包括溴化氢、碘化氢、三氟甲烷、六氟化硫和六氟乙烷中的至少一种。
16.根据权利要求15所述的方法,其特征在于,在所述第一蚀刻步骤和所述第二蚀刻步骤中都利用包括所述一氧化碳或二氧化碳气体、所述含氯气体以及所述含卤素气体的所述处理气体。
17.根据权利要求15所述的方法,其特征在于,应用于所述第一蚀刻步骤和所述第二蚀刻步骤中的处理气体中一氧化碳或二氧化碳气体、含氯气体以及含卤素气体所占比例不同。
18.根据权利要求15所述的方法,其特征在于,所述第一和第二蚀刻步骤在同一个处理腔室中执行。
CN200710149503.5A 2006-11-02 2007-09-04 使用蚀刻反应器蚀刻纳米压印模板 Expired - Fee Related CN101174086B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86415106P 2006-11-02 2006-11-02
US60/864,151 2006-11-02
US11/836,258 US7955516B2 (en) 2006-11-02 2007-08-09 Etching of nano-imprint templates using an etch reactor
US11/836,258 2007-08-09

Publications (2)

Publication Number Publication Date
CN101174086A CN101174086A (zh) 2008-05-07
CN101174086B true CN101174086B (zh) 2014-02-12

Family

ID=38982789

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710149503.5A Expired - Fee Related CN101174086B (zh) 2006-11-02 2007-09-04 使用蚀刻反应器蚀刻纳米压印模板

Country Status (7)

Country Link
US (1) US7955516B2 (zh)
EP (1) EP1918776B1 (zh)
JP (1) JP5265174B2 (zh)
KR (1) KR20080040556A (zh)
CN (1) CN101174086B (zh)
SG (1) SG142213A1 (zh)
TW (1) TWI391987B (zh)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013518740A (ja) * 2010-02-05 2013-05-23 オブダカット・アーベー 大面積ナノパターン用金属スタンプ複製の方法及びプロセス
TWI386304B (zh) * 2010-06-25 2013-02-21 Hon Hai Prec Ind Co Ltd 奈米壓印方法
US9153453B2 (en) 2011-02-11 2015-10-06 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013174522A1 (en) * 2012-05-25 2013-11-28 Micro Resist Technology Gesellschaft Für Chemische Materialien Spezieller Photoresistsysteme Mbh Composition suitable for use as a release-optimized material for nanoimprint processes and uses thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9281190B2 (en) * 2013-03-13 2016-03-08 Applied Materials, Inc. Local and global reduction of critical dimension (CD) asymmetry in etch processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9793127B2 (en) * 2013-11-13 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Plasma generation and pulsed plasma etching
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101593601B1 (ko) * 2014-04-03 2016-02-15 한국전자통신연구원 베이스 몰드 및 몰드의 제조방법
CN103984204A (zh) * 2014-05-22 2014-08-13 苏州锦元纳米科技有限公司 一种润滑薄膜的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6859088B2 (ja) * 2016-12-14 2021-04-14 エイブリック株式会社 半導体装置の製造方法
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6506785B2 (ja) * 2017-02-02 2019-04-24 株式会社Kokusai Electric リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN109659738B (zh) * 2017-10-10 2021-03-09 泰科电子(上海)有限公司 导电端子的触点保护层及其形成方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7164773B2 (ja) * 2018-03-02 2022-11-02 東京エレクトロン株式会社 パターンを層に転写する方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022221017A1 (en) * 2021-04-13 2022-10-20 Applied Materials, Inc. Nanoimprint and etch fabrication of optical devices
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
DE102021116587B3 (de) * 2021-06-28 2022-07-07 Jenoptik Optical Systems Gmbh Verfahren zum Herstellen einer Ätzmaske, Verfahren zum Ätzen einer Struktur in ein Substrat, Verwendung einer Tetrelschicht
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844042A (ja) * 1994-07-29 1996-02-16 Olympus Optical Co Ltd 透過率変調型フォトマスク及びその製造方法及びそれを用いた光学素子の製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
TW575786B (en) * 2000-03-14 2004-02-11 Takashi Nishi Exposure controlling photomask and production method thereof
US6391790B1 (en) * 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
WO2001096955A2 (en) * 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
JP3760086B2 (ja) * 2000-07-07 2006-03-29 株式会社ルネサステクノロジ フォトマスクの製造方法
JP2002131883A (ja) * 2000-10-27 2002-05-09 Hitachi Ltd フォトマスクの製造方法およびフォトマスク
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
JP4133580B2 (ja) 2003-05-21 2008-08-13 独立行政法人科学技術振興機構 圧電材料の加工方法
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
JP4322096B2 (ja) * 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
CN1619417A (zh) * 2003-11-21 2005-05-25 奥博杜卡特股份公司 多层超微压印平版印刷
KR20050075580A (ko) * 2004-01-16 2005-07-21 엘지전자 주식회사 나노 임프린트 리쏘그라피를 이용한 대면적 스탬프 제작방법
TWI366218B (en) 2004-06-01 2012-06-11 Semiconductor Energy Lab Method for manufacturing semiconductor device
WO2006035859A1 (ja) * 2004-09-30 2006-04-06 Japan Science And Technology Agency 自己組織化材料のパターニング方法、及び自己組織化材料パターニング基板とその生産方法、並びに自己組織化材料パターニング基板を用いたフォトマスク
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
CN101151132A (zh) * 2005-03-30 2008-03-26 日本瑞翁株式会社 树脂模及使用该树脂模的成型体的制造方法
JP2007114451A (ja) * 2005-10-20 2007-05-10 Hoya Corp マスクブランクス、および転写マスクの製造方法

Also Published As

Publication number Publication date
JP2008146029A (ja) 2008-06-26
JP5265174B2 (ja) 2013-08-14
EP1918776B1 (en) 2013-04-24
TW200822185A (en) 2008-05-16
TWI391987B (zh) 2013-04-01
US7955516B2 (en) 2011-06-07
US20080105649A1 (en) 2008-05-08
CN101174086A (zh) 2008-05-07
KR20080040556A (ko) 2008-05-08
EP1918776A1 (en) 2008-05-07
SG142213A1 (en) 2008-05-28

Similar Documents

Publication Publication Date Title
CN101174086B (zh) 使用蚀刻反应器蚀刻纳米压印模板
US8202441B2 (en) Process for etching a metal layer suitable for use in photomask fabrication
US7771895B2 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US7371485B2 (en) Multi-step process for etching photomasks
US7771894B2 (en) Photomask having self-masking layer and methods of etching same
US20040259367A1 (en) Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
JP5484666B2 (ja) マスクエッチングプロセス
US20040072081A1 (en) Methods for etching photolithographic reticles
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20020155725A1 (en) Method and apparatus for etching photomasks
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks
JP2016092360A (ja) 欠陥修正方法および微細構造体の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140212

Termination date: 20150904

EXPY Termination of patent right or utility model