KR101333744B1 - 포토리소그래픽 레티클을 프로세싱하기 위한 방법 - Google Patents

포토리소그래픽 레티클을 프로세싱하기 위한 방법 Download PDF

Info

Publication number
KR101333744B1
KR101333744B1 KR1020090047487A KR20090047487A KR101333744B1 KR 101333744 B1 KR101333744 B1 KR 101333744B1 KR 1020090047487 A KR1020090047487 A KR 1020090047487A KR 20090047487 A KR20090047487 A KR 20090047487A KR 101333744 B1 KR101333744 B1 KR 101333744B1
Authority
KR
South Korea
Prior art keywords
processing
etching
gas
substrate
reticle
Prior art date
Application number
KR1020090047487A
Other languages
English (en)
Other versions
KR20090077736A (ko
Inventor
마드하비 알. 찬드라츄드
아미타브흐 사브하월
토이 유에 베키 레웅
미첼 그림베르겐
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090077736A publication Critical patent/KR20090077736A/ko
Application granted granted Critical
Publication of KR101333744B1 publication Critical patent/KR101333744B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토리소그래픽 레티클과 같은 기판 상에 배치된 금속 층을 에칭하기 위한 방법 및 장치가 제공된다. 일 양상에서, 기판 프로세싱을 위한 방법이 제공되며, 그 방법은 광학적으로 투명한 물질 상에 증착된 금속 포토마스크 층을 가지는 기판을 프로세싱 챔버 내에 위치시키는 단계, 산소 함유 가스, 염소 함유 가스, 그리고 트리플루오로메탄(CHF3), 황 헥사불소(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 적어도 하나, 그리고 선택적으로 무-염소 할로겐 함유 가스 및/또는 불활성 가스를 포함하는 프로세싱 가스를 상기 프로세싱 챔버 내로 도입하는 단계, 상기 프로세싱 챔버 내에서 상기 프로세싱 가스의 플라즈마를 생성하는 단계, 및 기판 상에 증착된 금속 층의 노출된 부분들을 에칭하는 단계를 포함한다.

Description

포토리소그래픽 레티클을 프로세싱하기 위한 방법{METHOD FOR PROCESSING A PHOTOLITHOGRAPHIC RETICLE}
본 발명은 집적 회로들의 제조 및 집적 회로들의 제조시에 유용한 포토리소그래픽 레티클(reticle)들의 제조에 관한 것이다.
반도체 소자의 기하학적 구조들은 그러한 소자들이 수십 년 전에 처음 도입된 이래로 급격히 크기가 감소되어왔다. 그 이후, 집적 회로들은 2년 마다 칩 상의 소자들의 수가 2배가 된다는 것을 의미하는 (흔히 무어의 법칙으로 지칭되는) 2년/절반-크기 룰을 일반적으로 따라왔다. 오늘날의 제조 설비들은 0.15㎛ 그리고 심지어는 0.13㎛의 피쳐(feature) 크기들을 갖는 소자들을 일반적으로 생산하고 있으며, 차세대의 설비들은 더 작은 기하학적 구조들을 갖는 소자들을 생산하고 있을 것이다.
증가하는 회로 밀도들은 반도체 소자들의 제조에 이용되는 프로세스들에 대한 추가적인 요구들을 제기하였다. 예를 들어, 회로 밀도들이 높아짐에 따라, 비아(via)들, 콘택(contact)들 및 기타 피쳐들뿐 아니라, 그들 사이의 유전체 물질들의 폭들이 미크론-미만의 치수(dimension)들로 감소하는 반면, 유전체 층들의 두께는 거의 일정하게 유지되며, 그 결과, 피쳐들의 종횡비들 즉, 피쳐들의 높이를 폭으로 나눈 값이 커지게 된다. 미크론-미만의 기술의 성공에 있어서 그리고 각 기판들의 회로 밀도 및 품질을 높이기 위한 지속적인 노력에 있어서, 고종횡비 피쳐들의 신뢰성 있는 형성이 중요하다.
종래에, 피쳐들의 치수들을 규정하도록 기판의 표면을 패터닝하고 이어서 기판을 에칭하여 물질을 제거하고 피쳐들을 규정함으로써 고종횡비 피쳐들이 형성된다. 원하는 높이 대 폭의 비를 가지는 고종횡비 피쳐들을 형성하기 위해, 피쳐들의 임계 치수(CD: critical dimension)들로서 통상적으로 규정되는 특정 파라미터들 내에서 피쳐들의 치수들이 형성될 필요가 있다. 결과적으로, 원하는 임계 치수들을 가지는 고종횡비 피쳐들의 신뢰성 있는 형성은 기판의 정밀한 패터닝 및 후속되는 에칭을 필요로 한다.
포토리소그래피는 기판 표면 상에 정밀한 패턴들을 형성하기 위해 이용되는 기술이며, 다음에 그러한 패터닝된 기판 표면은 에칭되어 원하는 소자 또는 피쳐들을 형성한다. 포토리소그래피 기술들은 광(light) 패턴들 및 기판 표면 상에 증착된 레지스트 물질들을 이용하여 에칭 프로세스에 앞서서 기판 표면 상에 정밀한 패턴들을 현상한다. 종래의 포토리소그래피 프로세스들에서, 에칭될 층 위에 레지스트가 도포되고, 포토마스크 층이 그 상에 배치된 포토리소그래픽 레티클을 통해 광 패턴에 레지스트를 노출시킴으로써 콘택들, 비아들 또는 인터커넥트(interconnect)들과 같이 층에서 에칭될 피쳐들이 규정된다. 포토마스크 층은 피쳐들의 원하는 구성에 대응한다. 예를 들어, 레지스트의 조성을 변화시키기 위해, 저선량 X-선 광(low X-ray light) 또는 자외선(UV) 광을 방출하는 광원을 이용하여 레지스트를 노출시킬 수 있다. 일반적으로, 노출된 레지스트 물질이 화학적 프로세스에 의해 제거되어 하부에 놓인(underlying) 기판 물질을 노출시킨다. 이어서, 노출된 하부에 놓인 기판 물질이 에칭되어 기판 표면에 피쳐들을 형성하는 동시에, 남아 있는 레지스트 물질은 노출되지 않는 하부에 놓인 기판 물질에 대한 보호 코팅으로서 잔류한다.
통상적으로, 바이너리(binary) 포토리소그래픽 레티클들은 기판의 표면 상에 배치되는 금속 또는 포토마스크, 통상적으로는 크롬으로 이루어진 불투명한 차광 층을 가지는, 석영(즉, 이산화규소, SiO2)과 같이 광학적으로 투명한 실리콘계 물질로 제조된 기판을 포함한다. 차광 층은 기판에 전사될 피쳐들에 대응하도록 패터닝된다. 바이너리 포토리소그래픽 레티클들은 먼저 광학적으로 투명한 실리콘계 물질을 포함하는 기판 상에 얇은 금속 층을 증착하고, 이어서 상기 얇은 금속 층 상에 레지스트 층을 증착함으로써 제조된다. 이어서, 금속 층으로 전사될 임계 치수들을 규정하도록 통상적인 레이저 또는 전자 빔 패터닝 장비를 이용하여 레지스트가 패터닝된다. 이어서, 금속 층이 에칭되어, 패터닝된 레지스트에 의해 보호되지 않는 금속 물질을 제거하고, 이로써 하부에 높인 광학적으로 투명한 물질을 노출시키고 패터닝된 포토마스크 층을 형성한다. 포토마스크 층들은 광이 정밀한 패턴에서 이를 통과하여 기판 표면에 도달할 수 있게 한다.
습식(wet) 에칭과 같은 종래의 에칭 프로세스들은 등방적으로(isotropically) 에칭하는 경향이 있으며, 이는 패터닝된 레지스트 아래의 금속 층에 언더컷(undercut) 현상을 초래할 수 있다. 언더컷 현상은 균등하게 이격되지 않고 원하는 직선형의 수직 측벽들을 가지지 않는 패터닝된 피쳐들을 포토마스크 상에 생성할 수 있고, 이로써 피쳐들의 임계 치수들을 손실할 수 있다. 추가로, 피쳐들의 등방성 에칭은 피쳐들의 측벽들을 고종횡비들로 과다 에칭(overetch)할 수 있어, 피쳐들의 임계 치수들의 손실을 초래할 수 있다. 금속 층에서 원하는 임계 치수들 없이 형성된 피쳐들은 이를 통하는(therethrough) 빛의 통과에 부정적인 영향을 미칠 수 있으며 결과적으로 후속 포토리소그래픽 프로세스들에서 포토마스크에 의한 바람직한 패터닝에 미치지 못할 수 있다.
건식 에칭 프로세싱 또는 건식 에칭으로 알려진 플라즈마 에칭 프로세싱은 습식 에칭 프로세싱들보다 더 비등방성(anisotropic) 에칭을 제공한다. 건식 에칭 프로세스는 보다 적은 언더컷을 생성하고 보다 직선형의 측벽들 및 보다 평평한 바닥들을 가지는 포토마스크 피쳐들의 임계 치수들의 유지력을 개선하는 것으로 증명되어 왔다. 그러나 건식 에칭은 금속 층의 임계 치수들을 규정하기 위해 이용되는 레지스트 물질에 형성된 패턴 또는 개구부들의 측벽들을 과다하게 또는 부정확하게 에칭할 수 있다. 레지스트 물질의 과다한 측부 제거는 패터닝된 레지스트 피쳐들의 임계 치수들의 손실을 초래하며, 이는 패터닝된 레지스트 층에 의해 규정되는 금속 층에 형성된 피쳐들의 임계 치수들의 손실로 나타날(translate) 수 있다. 또한, 부정확한 에칭은 필요한 임계 치수들을 제공할 정도로 충분히 피쳐들을 에칭하지 못할 수 있다. 피쳐들을 임계 치수들까지 충분히 에칭하지 못하는 것은 임계 치수들의 "이득(gain)"이라 지칭된다. 금속 층에서 임계 치수들의 손실 또는 이득의 정도는 "에칭 바이어스(bias)" 또는 "CD 바이어스"로 지칭된다. 에칭 바이어스는 기판 표면들 상에 0.14㎛ 피쳐들을 형성하기 위해 이용되는 포토마스크 패턴들에서 120nm만큼 클 수 있다.
금속 층에 형성된 패턴의 임계 치수들의 손실 또는 이득은 이를 통하는 빛의 통과에 부정적인 영향을 미칠 수 있고 포토리소그래픽 레티클에 의해 패터닝된 기판에 수많은 패터닝 결함들 및 후속 에칭 결함들을 생성할 수 있다. 포토마스크의 임계 치수들의 손실 또는 이득은 고종횡비들의 미크론-미만의 피쳐들을 에칭하기에 불충분한 포토리소그래픽 성능을 초래할 수 있고, 임계 치수들의 손실 또는 이득이 너무(enough) 심각하다면 포토리소그래픽 레티클 또는 후속하여 에칭되는 소자의 불량을 초래할 수 있다.
그에 따라, 레티클과 같은 기판 상의 금속 층을 에칭하여 금속 층에 원하는 임계 치수들을 가지는 패턴을 형성하기 위한 프로세스 및 화학물질에 대한 필요성이 여전히 남는다.
본 발명의 양상들은 일반적으로 포토리소그래픽 레티클을 위한 포토마스크 층을 에칭하기 위한 방법들 및 관련 화학물질을 제공한다. 일 양상에서, 프로세싱 챔버 내에서 포토리소그래픽 레티클을 프로세싱하기 위한 방법이 제공된다. 레티클은 광학적으로 투명한 기판 상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층 상에 증착된 패터닝된 레지스트 물질을 포함한다. 레티클은 산소 함유 가스, 염소 함유 가스, 트리플루오로메탄(CHF3), 황 헥사불소(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 적어도 하나, 그리고 선택적으로 무-염소(chlorine-free) 할로겐 함유 가스 및/또는 불활성 가스를 포함하는 프로세싱 가스를 도입함으로써 프로세싱된다. 전력이 프로세싱 챔버로 전달되어 프로세싱 가스로부터 플라즈마를 형성한다. 후속하여, 플라즈마를 이용하여 금속 포토마스크 층의 노출된 부분들이 에칭된다.
다른 양상에서, 프로세싱 챔버 내에서 지지 부재 상의 포토리소그래픽 레티클을 프로세싱하기 위한 방법이 제공된다. 레티클은 광학적으로 투명한 실리콘계 물질 상에 형성된 크롬계 포토마스크 층 및 상기 크롬계 포토마스크 층 상에 증착된 패터닝된 레지스트 물질을 포함한다. 레티클은 염소 가스, 산소 가스, CHF3, SF6, C2F6 또는 NH3 중 적어도 하나, 그리고 선택적으로 브롬화수소를 포함하는 프로세싱 가스를 약 1밀리토르(milliTorr) 내지 약 40밀리토르의 챔버 압력으로 도입함으로써 프로세싱된다. 프로세싱 챔버에 인접하게 배치된 코일로 약 200와트 내지 약 1500와트의 소스 전력(source power)이 전달되어 프로세스 가스로부터 플라즈마를 생성한다. 약 5와트 내지 약 200와트의 바이어스 전력이 지지 부재로 공급된다. 후속하여, 플라즈마를 이용하여, 약 1:1 또는 이를 초과하는 크롬계 포토마스크 층 대 레지스트 물질의 제거율 비로 크롬계 포토마스크 층의 노출된 부분들이 에칭된다.
본 발명의 상기 열거된 양상들이 이루어지고 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 그 실시예들을 참조로 이루어질 수 있는데, 이러한 실시예들은 첨부된 도면들에 예시되어 있다.
그러나 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이며, 따라서 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 동등하게 유효한 실시예들을 허용할 수 있기 때문이다.
이해를 돕기 위해, 도면들에서 공통적인 동일한 엘리먼트들을 표시하기 위해, 가능한 어느 곳에서라도 동일한 도면 부호들이 사용되었다. 추가 언급 없이 일 실시예의 특징들은 다른 실시예들에 유리한 방향으로 포함될 수 있다고 여겨진다.
이하에서, 유도 결합 플라즈마 에칭 챔버를 참조하여, 본 발명의 양상들이 설명될 것이다. 적절한 유도 결합 플라즈마 에칭 챔버들은 캘리포니아 헤이워드에 소재하는 ETEC으로부터 입수 가능한 ETEC Tetra ITM 포토마스크 에칭 챔버 및 ETEC Tetra IITM 포토마스크 에칭 챔버, 또는 선택적으로, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수 가능한 Decoupled Plasma Source(DPS ITM, DPS IITM 및 DPS PlusTM) 프로세싱 챔버를 포함한다.
예를 들어, 용량 결합 병렬 플레이트 챔버들 및 자기적 강화형 이온 에칭 챔버들, 그리고 다른 디자인들의 유도 결합 플라즈마 에칭 챔버들을 포함하는 다른 프로세스 챔버들이 본 발명의 프로세스들을 수행하는데 이용될 수 있다. 그러한 적합한 프로세싱 챔버들의 예들은 1999년 6월 3일자로 출원된 미국 특허 출원 일련번호 제 09/325,026 호에 개시되어 있다. ETEC TetraTM 포토마스크 에칭 챔버로 프로세스들이 유리하게 수행될 수 있지만, 그러한 프로세싱 챔버에 대한 설명은 예시이며, 본 발명의 임의의 양상의 범위를 제한하는 것으로 이해되거나 해석되지 않아야 한다. 또한, 본 발명은 다른 제조업자들로부터의 프로세싱 챔버들을 포함하는 다른 프로세싱 챔버들에서 유리하게 실시될 수도 있는 것으로 간주된다.
도 1은 프로세싱 챔버(100)의 일 실시예의 개략적인 단면도이며, 상기 프로세싱 챔버(100)는 일반적으로 기판 받침대(124)를 구비하는 프로세스 챔버 본체(102), 및 제어부(146)를 포함한다. 챔버 본체(102)는 실질적으로 평평한 유전체 천장(108)을 지지하는 전도성 벽(104)을 구비한다. 프로세싱 챔버(100)의 다른 실시예들은 다른 타입들의 천장들, 예를 들어 돔-형상의 천장을 포함할 수도 있다. 안테나(110)가 천장(108)의 위쪽에 배치된다. 안테나(110)는 선택적으로 제어될 수 있는 하나 또는 그보다 많은 유도 코일 엘리먼트들을 포함한다(도 1에는 두 개의 동축 엘리먼트들(110a 및 110b)이 도시되어 있다). 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라즈마 전력 공급원(112)에 연결된다. 통상적으로, 플라즈마 전력 공급원(112)은 약 50kHz 내지 약 13.56MHz 범위의 튜닝 가능한 주파수에서 약 3000와트(W)까지 생성할 수 있다.
기판 받침대(음극)(124)는 제 2 매칭 네트워크(142)를 통해 바이어싱 전력 공급원(140)에 연결된다. 바이어싱 공급원(140)은 약 1 내지 약 10kHz의 튜닝 가능한 펄스 주파수에서 약 0 내지 약 600 W를 제공한다. 바이어싱 공급원(140)은 펄스화된 RF 전력 출력을 생성한다. 대안으로, 바이어싱 공급원(140)이 펄스화된 DC 전력 출력을 생성할 수도 있다. 또한, 공급원(140)은 일정한 DC 및/또는 RF 전력 출력을 제공할 수도 있다고 간주된다.
일 실시예에서, 기판 지지 받침대(124)는 정전기 척(chuck; 160)을 포함한다. 정전기 척(160)은 적어도 하나의 클램핑 전극(132)을 포함하고, 척 전력 공급원(166)에 의해 제어된다. 대안적인 실시예들에서, 기판 받침대(124)는 서셉터 클램프 링, 진공 척, 기계적 척 등과 같은 기판 유지 메커니즘들을 포함할 수 있다.
가스 패널(120)이 프로세싱 챔버(100)에 연결되어 프로세스 가스 및/또는 다른 가스들을 프로세스 챔버 본체(102) 내부로 제공한다. 도 1에 도시된 실시예에서, 가스 패널(120)이 챔버 본체(102)의 측벽(104) 내의 채널(118)에 형성된 하나 또는 그보다 많은 유입구들(116)에 연결된다. 하나 또는 그보다 많은 유입구들(116))이 다른 위치들에, 예를 들어 프로세싱 챔버(100)의 천장(108)에 제공될 수도 있다고 간주된다.
프로세싱 챔버(100) 내의 압력은 스로틀 밸브(162) 및 진공 펌프(164)를 이용하여 제어된다. 진공 펌프(164) 및 스로틀 밸브(162)는 챔버 압력들을 약 1 내지 약 20mTorr 범위 내로 유지할 수 있다.
벽(104)의 온도는 벽(104)을 통해 연장되는 (도시되지 않은) 액체-함유 도관들을 이용하여 제어될 수 있다. 일반적으로, 벽 온도는 약 65℃로 유지된다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄, 스테인리스 스틸 등)으로 형성되고 전기 접지(106)에 연결된다. 프로세싱 챔버(100)는 또한 프로세스 제어, 내부 진단, 종료 시점 검출 등을 위한 종래의 시스템들을 포함한다. 그러한 시스템들은 지원 시스템들(154)로서 집합적으로 도시되어 있다.
(레티클 또는 기타 공작물과 같은) 기판(122)을 기판 지지 받침대(124) 상에 고정하기 위해 레티클 어댑터(adapter; 182)가 사용된다. 일반적으로, 레티클 어댑터(182)는 받침대(124)의 상부 표면(예를 들어, 정전기 척(160))을 덮도록 가공된 하부 부분(184) 및 기판(122)을 유지할 수 있는 크기 및 형상을 가지는 개구부(188)를 구비하는 상부 부분(186)을 포함한다. 일반적으로, 개구부(188)는 실질적으로 받침대(124)에 대해 중심에 위치된다. 일반적으로, 어댑터(182)는 폴리이미드 세라믹 또는 석영과 같이 에칭 및 높은 온도에 대해 내성을 가지는 물질로 이루어진 단일체로 형성된다. 적절한 레티클 어댑터가 2001년 6월 26일자로 허여된 미국 특허 제 6,251,217 호에 개시되어 있다. 엣지(edge) 링(126)이 어댑터(182)를 덮고 그리고/또는 받침대(124)에 고정할 수 있다.
어댑터(182) 및 그에 따라 기판(122)을 기판 지지 받침대(124) 상에 또는 그 받침대로부터 하강 또는 상승시키기 위해 승강 메커니즘(138)이 사용된다. 일반적으로, 승강 메커니즘(138)은 각 가이드 홀들(136)을 통해 이동하는 다수의 승강 핀들(하나의 승강 핀(130)이 도시됨)을 포함한다.
작동 중에, 기판 받침대(124)의 온도를 안정화시킴으로써 기판(122)의 온도가 제어된다. 일 실시예에서, 기판 지지 받침대(124)는 히터(144) 및 선택적인 히트 싱크(heat sink; 128)를 포함한다. 히터(144)는 그를 통하여 열 전달 유체가 통과하여 유동하도록 구성된 하나 또는 그보다 많은 유체 도관들일 수 있다. 다른 실시예에서, 히터(144)는 히터 전력 공급부(168)에 의해 조정되는 적어도 하나의 가열 엘리먼트(134)를 포함할 수 있다. 선택적으로, 가스 공급원(156)으로부터의 후방(backside) 가스(예를 들어, 헬륨(He))가 가스 도관(158)을 통해 기판(122) 아래쪽의 받침대 표면에 형성된 채널들로 공급된다. 후방 가스는 받침대(124)와 기판(122) 사이의 열 전달을 촉진시키는데 사용된다. 프로세싱 중에, 받침대(124)는 매립된 히터(144)에 의해 안정-상태 온도로 가열될 수 있으며, 이는 헬륨 후방 가스와 함께 기판(122)의 균일한 가열을 용이하게 한다.
제어부(146)는 중앙처리유닛(CPU; 150), 메모리(148), 및 CPU(150)를 위한 지원 회로들(152)을 포함하고, 이하에서 더 상세히 논의되는 바와 같이, 프로세싱 챔버(100)의 부품들의 제어 및 이런 에칭 프로세스의 제어를 돕는다. 제어부(146)는 여러 챔버들 및 하위-프로세서(sub-processor)들을 제어하기 위한 산업 환경에 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(150)의 메모리(148)는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소와 같이 용이하게 이용할 수 있는 메모리 중 하나 또는 그보다 많은 메모리일 수 있다. 지원 회로들(152)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(150)에 연결된다. 이러한 회로들은 캐시(cache), 전력 공급원들, 클록 회로들, 입력/출력 회로 및 하위 시스템(subsystem)들 등을 포함한다. 일반적으로, 본 발명의 방법은 메모리(148)에 또는 소프트웨어 루틴으로서 CPU(150)에 액세스할 수 있는 다른 컴퓨터 판독 가능 매체에 저장된다. 대안으로, 그러한 소프트웨어 루틴은 또한 CPU(150)에 의해 제어되는 하드웨어로부터 원격으로 위치된 (도시되지 않은) 제 2 CPU에 의해 저장 및/또는 실행될 수 있다.
이하의 프로세스 설명은 본 명세서에서 설명되는 바와 같이 프로세싱 가스들을 이용하여 기판을 에칭하는 하나의 실시예를 예시하지만, 본 발명은 300mm 기판 프로세싱을 위한 포토리소그래픽 레티클들과 같은 다른 기판 크기들에 대해서 그리고 다른 에칭 챔버와 같은 다른 장치에서 이러한 프로세스를 수행하기 위해서 본 명세서에서 설명되는 범위들을 벗어난 프로세싱 파라미터들의 사용을 고려한다.
예시적인 에칭 프로세스
이하의 설명이 포토리소그래픽 레티클 제조에서의 포토마스크들로서 크롬 및 크롬 산질화물(oxynitride)과 같은 금속 층들을 에칭하기 위한 프로세스 시퀀스(sequence)의 일 실시예를 예시하지만, 에칭 가스들은 반도체 및 포토리소그래픽 레티클 제조시에 기판들 상에 형성되는 다른 물질 층들을 에칭하는데 사용될 수도 있다고 간주된다.
일반적으로, 포토리소그래픽 레티클은 광학적으로 투명한 기판 상에 증착된 포토마스크로 알려진 불투명한 층을 포함한다. 불투명한 층은 금속 층, 예를 들어, 크롬, 또는 포토마스크로서 이용하기에 적합하다고 알려진 또는 알려지지 않은 다른 물질을 포함할 수 있다. 예를 들어, 본 발명은 불투명한 층이 비-금속 유전체 물질을 포함할 수 있다고 간주한다. 기판(122)의 광학적으로 투명한 물질은, 약 300 나노미터(nm) 또는 그 미만의 파장들을 가지는 광에 대해 투명한, 예를 들면 248nm 및 193nm 파장들을 갖는 자외선 광에 대해 투명한 물질을 포함하는 것으로 넓게 규정되지만, 이에 한정되는 것은 아니다.
도 2는 에칭 프로세스(200)의 하나의 프로세스 시퀀스의 일 실시예의 흐름도이다. 그러한 흐름도는 설명을 위해 제공되며, 본 발명의 임의의 양상들의 범위를 제한하는 것으로 해석되지 않아야 한다. 도 3a 내지 도 3c는 포토마스크 형성 프로세스 중에 지점들에서의 포토리소그래픽 레티클의 구성을 도시하고, 도 2에서 위에서 설명된 프로세스를 추가로 도시한다.
통상적으로, 광학적 품질의 석영, 용융 실리카 물질, 규화몰리브덴(MoSi), 몰리브덴 실리콘 산질화물(MoSixNyOz), 불화칼슘, 알루미나, 사파이어, 또는 이들의 조합들과 같은 광학적으로 투명한 물질(310)을 포함하는 기판(122)이 단계(210)에서 도 1의 프로세싱 챔버(100)와 같은 프로세싱 챔버로 제공된다.
이어서, 도 3a에 도시된 바와 같이 단계(220)에서 통상적으로 크롬을 포함하는 금속 포토마스크 층으로서 불투명 금속 층(320)을 기판 물질(310) 상에 증착함으로써 기판(122)이 프로세싱된다. 크롬 층은 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술들과 같이 당업계에 공지된 종래의 방법들에 의해 증착될 수 있다. 통상적으로, 금속 층(320)은 약 50 내지 약 100nm의 두께로 증착되지만, 금속 층(320)의 두께는 제조업자의 요건들 및 기판이나 금속 층의 물질들의 조성에 따라 달라질 수 있다.
선택적으로, 반사방지-코팅(ARC 또는 ARC 층)이 증착된 금속 층(320) 상에 형성되거나 그 일부를 포함할 수 있다. ARC 층은 불투명한 층에 형성될 피쳐들의 패터닝에서 포토리소그래픽 정밀도를 개선하는 것으로 여겨진다. ARC 층은 예를 들어 크롬 산질화물과 같은 금속 산질화물 층을 형성하기 위해 비금속성 오염물질들 또는 불순물들을 포함하는 금속 층일 수 있다. 크롬 산질화물은 금속 층의 증착 중에 또는 금속 층을 산화 및 질화 환경과 같은 적절한 분위기에 노출시킴으로써 형성될 수 있다. 대안으로, 크롬 산질화물 층이 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술들과 같이 당업계에 공지된 종래의 방법들에 의해 증착될 수 있다. 금속 산질화물 층은 금속 층(320)의 전체 두께의 상부 25%까지 차지할 수 있다.
통상적으로, 광학적 ARC 층은 약 10nm 내지 약 15nm의 두께로 형성되지만, 그 층의 두께는 기판 또는 금속 층의 물질들의 조성 및 제조업자의 요건들에 따라 달라질 수 있으며, 본래의 금속 층(320) 두께의 상부 30%와 같이, 증착된 물질의 상부 표면에 주로 집중될 수 있다. 크롬 산질화물 필름은 크롬 필름들보다 산소 라디칼들에 의한 에칭에 보다 민감한 것으로 여겨진다. 프로세싱 가스 내의 감소된 양의 산소는 잔류 크롬 물질의 벌크(bulk)를 에칭하는 것에 비해 크롬 산질화물 표면을 효과적으로 에칭하는데 사용될 수 있다.
도 3b에 도시된 바와 같이 단계(230)에서 금속 층(320)을 노출시키기 위해 레지스트 물질(330)을 증착하고 패턴 에칭함으로써 금속 층(320)의 패턴들 또는 개구부들의 치수들이 패터닝된다. 포토리소그래픽 레티클 제조에 이용되는 레지스트 물질들은 일반적으로 저온 레지스트 물질들이며, 그러한 저온 레지스트 물질들은 본 명세서에서 약 250℃보다 높은 온도들에서 열적으로 열화(劣化)되는 물질들로서 정의되며, 이러한 물질들의 일례는 Hoya Corporation에 의해 제조된 "ZEP" 또는 본 명세서에서 설명된 다른 것들을 포함한다. 레지스트 물질(330)은 약 200nm 내지 약 600nm의 두께로 금속 층(320) 상에 증착된다.
레지스트 물질은 포토레지스트 물질일 수 있으며, 그러한 물질은 레이저 패터닝 디바이스를 이용하여 또는 전자 빔 이미터와 같은 다른 복사 에너지 패터닝 디바이스에 의해 광학적으로 패터닝될 수 있어, 금속 층(320) 내에 형성될 피쳐 규정(definition)의 치수들을 정의하는데 이용되는 패턴(325)을 형성한다.
이어서, 불투명한 금속 층이 에칭되어 원하는 임계 치수들을 갖는 피쳐들을 가지는 포토마스크 층을 생성한다. 이어서, 기판(122)은 금속 층(320)을 에칭하기 위해 위에서 설명된 프로세싱 챔버(100)와 같은 에칭 챔버로 이송된다. 도 3c에 도시된 바와 같이, 단계(240)에서 금속 층을 에칭하여 하부에 놓인 광학적으로 투명한 기판 물질, 그리고 선택적으로 ARC 층을 노출시킴으로써, 개구부들 및 패턴들(355)이 금속 층(320)에 형성된다.
불투명한 금속 층(320)의 노출된 부분들을 에칭하는 것은 프로세싱 챔버(100)로 소스 전력 및/또는 바이어스 전력을 공급함으로써 프로세싱 가스의 플라즈마를 생성함으로써 이루어진다. 프로세싱 가스는 금속 층을 에칭하기 위해 사용될 수 있다.
산소 함유 가스는 산소(O2), 일산화탄소(CO), 이산화탄소(CO2), 및 이들의 조합들 중 하나 또는 그보다 많은 것을 포함하는 그룹으로부터 선택된다. 일 실시예에서, 산소 함유 가스는 산소이다. 산소 함유 가스는 에칭 라디칼들의 공급원을 제공한다. 일산화탄소(CO) 및 이산화탄소(CO2) 가스들은 선택될 때, 부동태화(passivating) 폴리머 증착물들을 형성하기 위한 물질의 공급원을 제공할 수 있으며, 이는 에칭 바이어스를 개선할 수 있다.
염소 함유 가스는 염소 가스(Cl2), 사염화탄소(CCl4), 염화수소(HCl), 및 이들의 조합들 중 하나 또는 그보다 많은 것을 포함하는 그룹으로부터 선택된다. 일 실시예에서, 염소 함유 가스는 Cl2이다. 염소 함유 가스는 금속 층을 에칭하기 위한 높은 반응성의 라디칼들을 공급하는데 이용된다. 염소 함유 가스는 부동태화 폴리머 증착물들을 형성하기 위한 물질의 공급원을 제공할 수도 있는, 사염화탄소(CCl4) 가스와 같은 에칭 라디칼들 및 성분들의 공급원을 제공하며, 이는 에칭 바이어스를 개선할 수 있다. 포토레지스트에 대한 크롬의 에칭 선택도(selectivity)를 높이기 위해서, 그리고 에칭 바이어스를 감소시키기 위해서, 트리플루오로메탄(CHF3), 황 헥사불소(SF6), 헥사플루오로에탄(C2F6) 및 암모니아(NH3)와 같은 다른 염소 함유 가스들이 선택될 수도 있다.
선택적으로, 무-염소 할로겐 함유 가스가 포함될 수 있다. 무-염소 할로겐 함유 가스는 브롬화수소(HBr), 요오드화수소(hydrogen iodide; HI), 및 이들의 조합들 중 하나 또는 그보다 많은 것을 포함하는 그룹으로부터 선택될 수 있다. 일 실시예에서, 무-염소 할로겐 함유 가스는 HBr이다. 또한, 브롬화수소는 수성 용액으로부터의 프로세싱을 위해 전달될 수 있거나 브롬화수소산으로서 수성 성분을 가질 수도 있다. 금속 층을 에칭하기 위한 반응성 라디칼들 및 수소 모두를 공급하기 위해 무-염소 할로겐 함유 가스가 사용될 수 있으며, 이는 포토레지스트 및 금속 에칭 레이트들을 감소시키고 포토레지스트 및 금속 측벽들을 부동태화하여 과다 에칭을 최소화할 수 있고 원하는 임계 치수들을 유지할 수 있으며, 에칭 바이어스를 개선할 수 있다.
염소 함유 가스 및 무-염소 할로겐 함유 가스가 제공된다면, 그 염소 함유 가스 및 무-염소 할로겐 함유 가스는 약 10:1 내지 약 0.5:1의 염소 함유 가스 대 무-염소 할로겐 함유 가스의 분자비(molar ratio), 예를 들어 약 10:1 내지 약 0.5:1의 염소 대 브롬화수소 분자비로 제공된다.
또한, 프로세싱 가스는 불활성 가스를 포함할 수 있고, 그러한 불활성 가스는 프로세싱 가스를 포함하는 플라즈마의 일부로서 이온화될 때, 스퍼터링 종들(species)이 피쳐들의 에칭 레이트를 높이게 한다. 플라즈마의 일부로서의 불활성 가스의 존재는 또한 활성 프로세싱 가스들의 분해를 향상시킬 수 있다. 결과적으로, 불활성 가스는 라디칼 에칭 레이트의 제어를 돕는다. 에칭 레이트는 중심이 빠르거나 중심이 느리도록 제어될 수 있다. 불활성 가스들의 예들은 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 이들의 조합들을 포함하며, 이들 중 일반적으로는 아르곤 및 헬륨이 사용된다. 통상적으로, 불활성 가스들은 프로세스에 대한 총 가스 유동의 약 5 부피% 내지 약 40 부피%, 예를 들어 약 15 부피% 내지 약 25 부피%를 차지한다. 에칭 프로세싱 가스 도입 이전에 플라즈마 개시를 위한 플라즈마 충돌(striking)을 위해, 불활성 가스는 사용되는 프로세스 가스의 약 75 부피% 내지 약 100 부피%를 차지할 수도 있다.
에칭 챔버 내에서 150mm × 150mm의 정사각형 포토리소그래픽 레티클들을 에칭하기 위해, 불활성 가스를 포함하는 프로세싱 가스의 총 유량은 약 100sccm 내지 약 700sccm의 유량으로 도입된다. 산소 함유 가스는 약 5sccm 내지 약 200sccm, 예를 들어 약 20-50sccm의 유량으로 프로세싱 챔버(100)에 도입될 수 있다. 염소 함유 가스는 약 25sccm 내지 약 1000sccm, 예를 들어 약 150-300sccm의 유량으로 프로세싱 챔버(100)에 도입될 수 있다. 선택적으로 무-염소 할로겐 함유 가스와 함께, CHF3, SF6, C2F6 또는 NH3 중 적어도 하나가 약 1sccm 내지 약 50sccm, 예를 들어 약 1-5sccm의 유량으로 프로세싱 챔버(100)에 도입될 수 있다. 불활성 가스가 이용될 때, 약 5sccm 내지 약 100sccm, 예를 들어 약 20-45sccm의 유량이 제공될 수 있다.
프로세싱 가스들의 각각의 가스 유량 및 총 가스 유량은 프로세싱 챔버(100)의 크기, 프로세싱되는 기판(122)의 크기, 및 운영자에 의해 요구되는 특정 에칭 프로파일과 같은 다수의 프로세싱 인자들을 기초로 달라질 수 있다.
일반적으로, 약 15000 W 또는 그 미만인 소스 RF 전력 레벨이 인덕터 코일에 인가되어 에칭 프로세스 중에 프로세싱 가스들의 플라즈마를 생성하고 유지한다. 약 200 W 내지 약 1500 W의 전력 레벨, 예를 들어 약 300-350 W의 전력 레벨이 기판 표면을 에칭하기 위한 프로세싱 가스들의 충분한 플라즈마를 제공하는 것으로 관찰되었다. 언급된 소스 RF 전력 레벨들은 약 150℃ 또는 그 미만이 되는 기판 온도들에 대해, 종래 기술의 금속 에칭 프로세스들에 비해 충분히 낮은 전력 레벨을 제공하면서, 기판 상에 배치된 노출된 금속 층을 에칭하기 위해 충분한 에칭 라디칼들 및 폴리머화 라디칼들을 프로세싱 가스들로부터 생성하는 것으로 관찰되었다.
일반적으로, 기판(122)의 표면에 대한 에칭 라디칼들의 방향성(directionality)을 높이기 위해 약 200와트 미만의 바이어스 전력이 기판(122)에 인가된다. 50 W 미만의 바이어스 전력이 에칭 프로세스에 이용될 수 있다. 약 15 W 내지 20 W의 바이어스가 에칭 프로세싱 중에 에칭 라디칼들의 충분한 방향성을 제공하는 것으로 관찰되었다.
기판 표면의 노출된 물질은, 에칭될 물질의 양에 따라, 약 15초 내지 약 400초, 예를 들어 약 30초 내지 약 350초 동안 프로세싱 가스들의 플라즈마에 의해 에칭될 수 있다. 임의의 ARC 층 물질이 약 5초 내지 약 180초, 예를 들어 약 30초 내지 약 60초 동안 제 1 프로세싱 가스의 플라즈마에 노출될 수 있으며, 그러한 노출 시간은 총 에칭 시간에 추가되거나 포함될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 1밀리토르 내지 약 40밀리토르, 바람직하게는 약 3밀리토르 내지 약 8밀리토르로 유지되며, 에칭 프로세싱 중에 그 압력으로 유지될 수 있다.
또한, 기판(122)은 프로세싱 중에 약 150℃ 또는 그 미만의 온도로 유지된다. 약 150℃ 아래 또는 그 미만의 기판 온도는 본 명세서에서 설명되는 프로세싱 가스들을 이용한 포토리소그래픽 레티클 제조 프로세스들 중에 기판 상에 증착되는 레지스트 물질들과 같은 물질들의 최소 열적 열화(劣化)를 겪는다. 약 20℃ 내지 약 150℃, 예를 들어 약 20℃ 내지 약 50℃의 기판 온도는 기판 표면 상에 배치된 물질의 최소 열적 열화로 포토마스크 피쳐들을 에칭하는데 사용될 수 있다. 또한, 프로세싱 챔버(100)의 측벽들(104)은 약 70℃ 미만의 온도로 유지될 수 있고, 돔(dome)은 바람직하게 약 80℃ 미만의 온도로 유지되어, 일정한 프로세싱 조건들을 유지하고 프로세싱 챔버의 표면들 상의 폴리머 형성을 최소화할 수 있다.
에칭 프로세스의 예가 이하에 설명된다. 기판(122)이 지지 부재(124) 상에 배치되고, 본 명세서에서 설명된 바와 같은 프로세싱 가스가 챔버(100)에 도입되며, 산소 가스(O2), 염소 가스(Cl2), 그리고 트리플루오로메탄(CHF3), 황 헥사불소(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 적어도 하나의 추가 가스, 그리고 선택적으로 브롬화수소(HBr) 및/또는 아르곤(Ar) 또는 헬륨(He)과 같은 불활성 가스로 이루어진 프로세싱 가스를 약 100sccm 내지 약 200sccm의 유량으로 도입하고 그러한 프로세싱 가스로부터 플라즈마를 생성함으로써 금속 층(320)을 에칭하도록 플라즈마가 생성되고 유지된다. 산소 가스가 약 5sccm 내지 약 200sccm의 유량으로 프로세싱 챔버(100)에 도입되고, 염소 가스가 약 25sccm 내지 약 1000sccm 의 유량으로 프로세싱 챔버(100)에 도입되며, 트리플루오로메탄(CHF3), 황 헥사불소(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 적어도 하나의 추가 가스 그리고 선택적으로 브롬화수소(HBr) 가스가 약 1sccm 내지 약 50sccm의 유량으로 프로세싱 챔버(100)에 도입될 수 있다. 불활성 가스, 예를 들어, 아르곤은 약 5sccm 내지 약 100sccm의 유량으로 프로세싱 챔버(100)에 도입된다. 염소 가스 및 브롬화수소가 제공되는 경우에, 프로세싱 가스에서의 염소 가스 대 브롬화수소의 비는 약 10:1 내지 약 0.5:1이다.
에칭 프로세스 중에 프로세싱 가스들의 플라즈마를 생성하고 유지하기 위해 약 200 W 내지 약 1500 W, 예를 들어 300-350 W의 소스 RF 전력을 인덕터 코일에 인가함으로써 플라즈마가 발생된다. 약 25 W 내지 약 200 W, 예를 들어 약 15-20 W의 바이어스 전력이 기판 지지부(124)에 인가된다. 에칭 프로세스는 약 90초 내지 약 400초, 예를 들어 약 350초 동안 수행된다. 금속 층(320) 에칭 프로세스의 종료점은 광학식 방출 종료점 제어에 의해 모니터링될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 1밀리토르 내지 약 40밀리토르, 예를 들어 약 3밀리토르, 약 5밀리토르, 또는 약 8밀리토르로 유지된다. 에칭 프로세스 중에 기판 온도는 약 20℃ 내지 약 100℃이다. 추가로, 프로세싱 챔버(100)의 측벽들(104)은 약 70℃ 미만의 온도로 유지되고, 돔은 약 80℃ 미만의 온도로 유지된다. 일반적으로, 위에서 설명된 금속 에칭 프로세스는 약 3:1 또는 그보다 큰 금속 층 대 레지스트의 선택도를 생성한다.
대안으로, 기판으로부터 원하는 모든 물질의 제거를 보장하기 위해 에칭 프로세스 후에 과다 에칭 단계가 수행될 수도 있다. 과다 에칭은 금속 층(320)을 에칭하기 위해 임의의 적절한 프로세싱 가스를 이용할 수 있다. 예를 들어, 과다 에칭 가스는 본 명세서에 기재된 산소 함유 가스, 염소 함유 가스, 무-염소 할로겐 함유 가스, 및 불활성 가스들의 전부를 포함하여, 이들 중 하나 또는 그보다 많은 가스를 포함할 수 있다.
대안으로, 본 명세서에서 설명된 바와 같은 ARC 물질이 금속 층 상에 형성된다면, ARC 물질은 금속 층 에칭 프로세스 중에 금속 층과 함께 제거될 수 있거나 금속 층의 에칭 전에 에칭 프로세스에 의해서 제거될 수도 있다. ARC 에칭 프로세스 및 금속 층 에칭 프로세스의 예는 "포토마스크들을 에칭하기 위한 다단계 프로세스"라는 명칭으로 2004년 3월 18일자로 출원된 미국 특허 출원 제 10/803,867 호에서 보다 구체적으로 설명된다.
개시된 조건들 하에서 본 명세서에서 설명된 에칭 프로세스는 약 1:1 또는 그보다 큰 금속 층 대 레지스트의 제거 레이트 비, 즉 선택도 또는 에칭 바이어스를 생산한다. 약 1:1 또는 그보다 큰 금속 대 레지스트의 선택도가 본 명세서에서 설명된 에칭 프로세스에 의해 프로세싱된 기판(122)에서 관찰되었다. 약 3:1 또는 그보다 큰 금속 대 레지스트의 선택도가 본 명세서에서 설명된 에칭 프로세스에 의해 프로세싱된 기판에서 관찰되었다. 증대된 선택도는 에칭 프로세싱들이 포토레지스트 층에 패터닝된 임계 치수를 유지하게 하고, 에칭된 크롬 피쳐들이 원하는 임계 치수들을 갖게 한다.
또한, 본 명세서에서 설명된 바와 같은 에칭 프로세스들은 피쳐 레지스트 물질 내의 "측부"와 무관하게 "상단" 또는 상부 표면 레지스트 물질을 제거하는 것으로 관찰되었으며, 이는 비등방성 에칭 및 개선된 피쳐 형성에 부합한다. 추가로, 프로세싱된 기판들은 약 85도 내지 약 88도의 종래 기술의 결과에 비해, 거의 수직인 프로파일, 즉 피쳐의 측벽과 피쳐의 바닥 사이에 약 90도의 각도를 갖는 바람직한 임계 치수들을 가지는 피쳐들을 생산하였다.
선택적으로, 금속 층(320)을 에칭하기 위한 플라즈마를 생성하기 위해 플라즈마 충돌이 사용될 수 있다. 에칭 프로세스에 대해서 본 명세서에서 설명된 유량들 및 조성들로 프로세싱 가스를 도입하기에 앞서서 플라즈마를 개시 또는 생성하기 위해 플라즈마 충돌이 이용될 수 있다. 플라즈마 충돌은 본 명세서에서 설명된 프로세싱 가스들의 조성 또는 불활성 가스를 이용할 수 있다.
플라즈마 충돌 프로세스의 프로세싱 조건들 및 플라즈마 조건들은, 프로세싱 가스의 프로세싱 가스 성분들, 총 유량들, 챔버 압력들, 소스 전력 및 바이어스 전력을 포함하여, 본 명세서에서 설명된 프로세싱 가스를 이용한 에칭 프로세스의 조건들에 근사할 수 있다. 플라즈마 충돌 프로세스는 약 15초 또는 그 미만 동안, 예를 들어 약 3초 내지 약 5초 동안 이루어질 수 있다. 플라즈마 충돌의 한 예는 챔버 압력을 약 1밀리토르 내지 약 40밀리토르, 예를 들어 약 3밀리토르 내지 약 8밀리토르로 설정하는 단계, 약 200 W 내지 약 1500 W, 예를 들어 약 300-350 W 범위로 소스 전력을 코일에 공급하는 단계, 및/또는 약 5 W 내지 약 200 W, 예를 들어 약 15 W 내지 약 20 W 범위로 바이어스를 공급하는 단계를 포함한다. 플라즈마를 충돌시키기 위해 이용되는 소스 전력은 기판(122)의 에칭 중에 이용되는 전력보다 적을 수 있다.
금속 층(320)의 에칭이 완료된 후에, 기판(122)이 프로세싱 챔버(100)로 이송되고, 나머지 레지스트 물질(330)은 보통, 도 3d에 도시된 바와 같이, 예를 들어 산소 플라즈마 프로세스 또는 당업계에 공지된 기타 레지스트 제거 기술에 의해 기판(122)으로부터 제거된다.
선택적으로, 포토마스크를 통과하는 광의 해상도를 높임으로써 기판 상에 형성되는 에칭 패턴의 정확도를 높이기 위해, 감쇠 상 천이(attenuating phase shift) 포토마스크들을 형성하는데 감쇠 물질이 사용될 수 있다. 규화몰리브덴(MoSi) 또는 유도체와 같은 감쇠 물질이 불투명한 금속 층(320)과 광학적으로 투명한 기판 표면(310) 사이에 배치될 수 있고, 이어서 에칭될 수 있다. 감쇠 물질은 광학적으로 투명한 기판 상에 증착될 수 있거나 또는 광학적으로 투명한 기판의 제조 중에 광학적으로 투명한 기판에 통합될 수 있다. 예를 들어, 감쇠 물질이 금속 층(320)의 증착에 앞서서 기판 표면 상에 배치된다면, 감쇠 물질은 단계(250)에서 하부에 놓인 물질을 노출시키기 위해 제 2 포토레지스트 물질을 이제 패터닝된 금속 층(320)에 증착하고 패터닝함으로써 형성될 수 있다. 다음에 단계(260)에서, 감쇠 물질로 이루어진 하부에 놓인 물질, 또는 노출된 기판 자체는, 적절하다면, 이러한 물질들에 적합한 에칭 가스를 이용하여 에칭될 수 있다.
기판(122)의 감쇠 물질들 및 실리콘계 물질과 같은 광학적으로 투명한 물질을 에칭하는 일례가 2002년 5월 21일자로 출원된 미국 특허 제 6,391,790 호 및 "포토리소그래픽 레티클들을 에칭하기 위한 방법들"이라는 명칭으로 2003년 5월 13일자 출원된 미국 특허 출원 제 10/437,729 호에서 보다 구체적으로 설명된다.
위에서 설명된 프로세싱 가스 조성 및 프로세싱 과정은 원하는 임계 치수들을 가지는 개구부들 또는 패턴들의 제어 가능한 에칭을 제공하는 것으로 여겨진다. 일반적으로, 개구부들 또는 패턴들의 에칭은 본 명세서에서 설명된 프로세싱 가스를 이용할 때 비등방적으로 이루어진다. 비등방적 프로세스는 개구부의 측벽들 상의 물질보다 더 높은 레이트로 개구부의 바닥에 증착된 물질을 제거한다. 이는, 개구부들의 측벽들 상의 물질들이 개구부들의 바닥들 상의 물질들보다 더 낮은 레이트로 제거되게 한다. 개구부들의 측벽들을 더 느린 레이트로 에칭하는 에칭 프로세스는 측벽들을 보다 덜 과다 에칭할 것이며, 이는 에칭되는 개구부들의 임계 치수들의 개선된 유지를 가능하게 하여, 그에 따라 에칭 바이어스를 감소시킨다.
청구되는 발명의 범위를 한정하는 것으로 의도되지 않은 다음의 예들에 의해 본 발명이 추가 설명된다.
예들
광학적 품질의 석영, 용융 실리카, 규화몰리브덴, 몰리브덴 실리콘 산질화물(MoSixNyOz), 불화칼슘, 알루미나, 사파이어, 또는 이들의 조합들과 같은 광학적으로 투명한 물질로 제조되고, 두께가 예를 들어 약 70 나노미터(nm) 내지 약 100nm인 크롬 포토마스크 층이 그 상에 배치된 기판을 포함하는 포토리소그래픽 레티클이 레지스트 증착을 위해 프로세싱 챔버에 도입된다. 총 크롬 깊이의 약 25%까지를 차지할 수 있는 크롬 산질화물로 이루어진 선택적 ARC 층이 형성될 수 있다.
레지스트, 예를 들어, 일본에 소재하는 Tokyo-Oka로부터 상업적으로 입수할 수 있는 레지스트 물질인 ZEP, 또는 일본에 소재하는 Tokyo-Oka로부터 역시 상업적으로 입수할 수 있는 CAR 레지스트나 화학적으로 증폭된(amplified) 레지스트가 크롬 산질화물 층 상에 증착된 다음, 종래의 레이저 또는 전자 빔 패터닝 설비를 이용하여 패터닝된다. 기판 상에 증착된 레지스트는 약 200nm 내지 약 600nm, 예를 들어 약 300nm 내지 약 400nm의 두께이지만, 원하는 어떠한 두께라도 될 수 있다.
상기 설명은 본 발명의 예시적인 양상들과 관련되지만, 본 발명의 다른 양상들 및 추가 양상들이 그 기본 범위를 벗어나지 않으면서 안출될 수 있으며, 그 범위는 다음의 청구항들에 의해 결정된다.
도 1은 에칭 챔버의 일 실시예의 개략적인 단면도이다.
도 2는 본 발명의 일 실시예에 따라 기판을 프로세싱하기 위한 시퀀스의 일 실시예를 도시한 흐름도이다.
도 3a 내지 도 3d는 본 발명의 다른 실시예의 에칭 시퀀스를 도시한 단면도들이다.

Claims (15)

  1. 포토리소그래픽 레티클을 프로세싱하기 위한 방법으로서:
    광학적으로 투명한 기판 상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층 상에 증착된 패터닝된 레지스트 물질을 포함하는 레티클을 프로세싱 챔버 내의 지지 부재 상에 위치시키는 단계;
    염소 함유 가스, 황 헥사불소(SF6), 및 무-염소 할로겐 함유 가스를 포함하는 프로세싱 가스를 상기 프로세싱 챔버 내로 도입하는 단계로서, 상기 무-염소 할로겐 함유 가스는 요오드화 수소(HI)를 포함하는, 프로세싱 가스를 상기 프로세싱 챔버 내로 도입하는 단계;
    상기 프로세싱 가스로부터 형성되는 플라즈마를 생성하기 위해서 상기 프로세싱 챔버로 전력을 전달하는 단계; 및
    상기 플라즈마를 이용하여 상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 염소 함유 가스는 염소, 사염화탄소 또는 염산으로 이루어진 그룹으로부터 선택된 하나 또는 그보다 많은 가스들을 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 금속 포토마스크 층이 크롬을 포함하고, 그리고 상기 광학적으로 투명한 기판이 석영, 규화몰리브덴 및 몰리브덴 실리콘 산질화물로 이루어진 그룹으로부터 선택된 적어도 하나의 실리콘계 물질을 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 금속 포토마스크 층은 반사방지 코팅을 더 포함하고, 상기 반사방지 코팅 및 상기 금속 포토마스크 층은 동시에 에칭되는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 프로세싱 가스와 함께, 헬륨, 아르곤, 크세논, 네온 및 크립톤으로 이루어진 그룹으로부터 선택된 불활성 가스를 도입하는 단계를 더 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 플라즈마를 생성하는 단계는 상기 프로세싱 챔버 내의 코일로 200와트 내지 1500와트의 소스 RF 전력을 인가하는 단계 및 상기 프로세싱 챔버 내의 레티클 지지부로 5와트 내지 200와트의 바이어스 전력을 인가하는 단계를 더 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 금속 포토마스크 층을 에칭하는 단계는 1:1 내지 3:1의 금속 포토마스크 층 대 레지스트 물질 비로 상기 금속 포토마스크 층을 선택적으로 에칭하는 단계를 더 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 금속 포토마스크 층 상에 제 2 패터닝된 포토레지스트 물질을 형성하는 단계; 및
    상기 광학적으로 투명한 기판을 플라즈마 에칭하는 단계를 더 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 광학적으로 투명한 기판 상에 감쇠 물질이 증착되고, 상기 감쇠 물질 상에 상기 금속 포토마스크 층이 형성되는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 광학적으로 투명한 기판을 플라즈마 에칭하는 단계에 앞서서 상기 감쇠 물질이 플라즈마 에칭되는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  13. 제 11 항에 있어서,
    상기 감쇠 물질은 규화몰리브덴들 또는 그 유도체인,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 금속 포토마스크 층의 노출된 부분을 에칭하는 단계에 앞서서 상기 금속 포토마스크 층 상에 반사방지 코팅 층을 증착하는 단계를 더 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 반사방지 코팅 층은 산소 및 질소 함유 환경으로의 노출, 물리기상증착 또는 화학기상증착에 의해서 형성되는 크롬 산질화물을 포함하는,
    포토리소그래픽 레티클을 프로세싱하기 위한 방법.
KR1020090047487A 2006-10-30 2009-05-29 포토리소그래픽 레티클을 프로세싱하기 위한 방법 KR101333744B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86347406P 2006-10-30 2006-10-30
US60/863,474 2006-10-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020070087534A Division KR100944846B1 (ko) 2006-10-30 2007-08-30 마스크 에칭 프로세스

Publications (2)

Publication Number Publication Date
KR20090077736A KR20090077736A (ko) 2009-07-15
KR101333744B1 true KR101333744B1 (ko) 2013-11-27

Family

ID=39052422

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070087534A KR100944846B1 (ko) 2006-10-30 2007-08-30 마스크 에칭 프로세스
KR1020090047487A KR101333744B1 (ko) 2006-10-30 2009-05-29 포토리소그래픽 레티클을 프로세싱하기 위한 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020070087534A KR100944846B1 (ko) 2006-10-30 2007-08-30 마스크 에칭 프로세스

Country Status (6)

Country Link
US (1) US20080179282A1 (ko)
EP (1) EP1918775A3 (ko)
JP (1) JP5484666B2 (ko)
KR (2) KR100944846B1 (ko)
CN (1) CN101174081A (ko)
TW (1) TWI410744B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN103837938A (zh) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 光纤对准器件及其制造方法
CN103730720B (zh) * 2013-12-20 2016-04-13 上海安费诺永亿通讯电子有限公司 一种在有遮挡结构的天线载体表面制作天线线路的方法
CN108132579B (zh) * 2016-12-01 2020-09-25 清华大学 光刻掩模板
CN115360093A (zh) 2018-09-21 2022-11-18 朗姆研究公司 蚀刻金属氧化物和保护腔室部件
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN109557761B (zh) * 2018-12-07 2022-03-08 深圳市华星光电半导体显示技术有限公司 掩膜板制作方法
US20220193828A1 (en) * 2020-12-23 2022-06-23 Amulaire Thermal Technology, Inc. Lift-off structure for sprayed thin layer on substrate surface and method for the same
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
CN113517188B (zh) * 2021-06-29 2024-04-26 上海华力集成电路制造有限公司 采用多层掩模板的图形化工艺方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0915416A (ja) * 1995-06-30 1997-01-17 Sumitomo Chem Co Ltd 低反射ブラックマスクを有する液晶表示素子用カラーフィルター
KR20060086865A (ko) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0023429B1 (en) * 1979-07-31 1985-12-18 Fujitsu Limited Dry etching of metal film
JPS58125829A (ja) * 1982-01-22 1983-07-27 Hitachi Ltd ドライエツチング方法
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
GB2121197A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
US5365515A (en) * 1991-07-17 1994-11-15 Tut Systems, Inc. Network monitor and test apparatus
JP3334911B2 (ja) * 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
JPH06204187A (ja) * 1993-01-06 1994-07-22 Toshiba Corp エッチング方法
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
KR100295385B1 (ko) * 1993-04-09 2001-09-17 기타지마 요시토시 하프톤위상쉬프트포토마스크,하프톤위상쉬프트포토마스크용블랭크스및이들의제조방법
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JPH11184067A (ja) * 1997-12-19 1999-07-09 Hoya Corp 位相シフトマスク及び位相シフトマスクブランク
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6280646B1 (en) * 1999-07-16 2001-08-28 Micron Technology, Inc. Use of a chemically active reticle carrier for photomask etching
JP4700160B2 (ja) * 2000-03-13 2011-06-15 株式会社半導体エネルギー研究所 半導体装置
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
EP1290495A2 (en) * 2000-06-15 2003-03-12 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US7314690B2 (en) * 2003-04-09 2008-01-01 Hoya Corporation Photomask producing method and photomask blank
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
TWI223350B (en) * 2003-07-17 2004-11-01 Semiconductor Mfg Int Shanghai A new method of mask chrome film etching process by employing electrolysis technique
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0915416A (ja) * 1995-06-30 1997-01-17 Sumitomo Chem Co Ltd 低反射ブラックマスクを有する液晶表示素子用カラーフィルター
KR20060086865A (ko) * 2005-01-27 2006-08-01 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법

Also Published As

Publication number Publication date
TW200819908A (en) 2008-05-01
EP1918775A3 (en) 2012-06-06
JP5484666B2 (ja) 2014-05-07
US20080179282A1 (en) 2008-07-31
TWI410744B (zh) 2013-10-01
CN101174081A (zh) 2008-05-07
KR20080039205A (ko) 2008-05-07
EP1918775A2 (en) 2008-05-07
KR20090077736A (ko) 2009-07-15
JP2008116949A (ja) 2008-05-22
KR100944846B1 (ko) 2010-03-04

Similar Documents

Publication Publication Date Title
KR101333744B1 (ko) 포토리소그래픽 레티클을 프로세싱하기 위한 방법
US7955516B2 (en) Etching of nano-imprint templates using an etch reactor
US8202441B2 (en) Process for etching a metal layer suitable for use in photomask fabrication
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
US7371485B2 (en) Multi-step process for etching photomasks
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
JP2006215552A5 (ko)
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee