KR20080039205A - 마스크 에칭 프로세스 - Google Patents

마스크 에칭 프로세스 Download PDF

Info

Publication number
KR20080039205A
KR20080039205A KR1020070087534A KR20070087534A KR20080039205A KR 20080039205 A KR20080039205 A KR 20080039205A KR 1020070087534 A KR1020070087534 A KR 1020070087534A KR 20070087534 A KR20070087534 A KR 20070087534A KR 20080039205 A KR20080039205 A KR 20080039205A
Authority
KR
South Korea
Prior art keywords
processing
gas
processing chamber
introducing
photolithographic reticle
Prior art date
Application number
KR1020070087534A
Other languages
English (en)
Other versions
KR100944846B1 (ko
Inventor
마드하비 알. 찬드라츄드
아미타브흐 사브하월
토이 유에 베키 레웅
미첼 그림베르겐
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039205A publication Critical patent/KR20080039205A/ko
Application granted granted Critical
Publication of KR100944846B1 publication Critical patent/KR100944846B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토리소그래픽 레티클과 같은 기판상에 배치된 금속 층을 에칭하기 위한 방법 및 장치가 제공된다. 일 측면에서, 기판 프로세싱을 위한 방법이 제공되며, 그 방법은 광학적으로 투명한 물질상에 도포된 금속 포토마스크 층을 가지는 기판을 프로세싱 챔버내에 위치시키는 단계, 산소 함유 가스, 염소 함유 가스, 그리고 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상, 그리고 선택적으로 무-염소 할로겐 함유 가스 및/또는 불활성 가스를 포함하는 프로세싱 가스를 상기 프로세싱 챔버내로 도입하는 단계, 상기 프로세싱 챔버내에서 상기 프로세싱 가스의 플라즈마를 생성하는 단계, 및 기판상에 도포된 금속 층의 노출된 부분을 에칭하는 단계를 포함한다.

Description

마스크 에칭 프로세스{MASK ETCH PROCESS}
본 발명은 집적 회로의 제조 및 집적 회로의 제조시에 유용한 포토리소그래픽 레티클(reticle)의 제조에 관한 것이다.
반도체 소자의 기하학적 크기는 그러한 소자가 수십년 전에 처음 도입된 후로 크게 감소되었다. 그 이후, 집적 회로는 2년 마다 칩내의 소자의 개체수가 2배가 된다는 것을 의미하는 2년/절반-크기 법칙(무어의 법칙이라고 통칭한다)을 일반적으로 따라왔다. 오늘날 제조 설비는 피쳐(feature) 크기가 0.15 ㎛인 심지어는 0.13 ㎛인 소자를 일반적으로 제조하고 있으며, 차세대 설비는 그 보다 작은 기하학적 크기의 소자를 생산할 것이다.
회로 밀도의 증가로 인해 반도체 소자의 제조에 이용되는 프로세스에 대한 추가적인 요구가 발생되었다. 예를 들어, 회로 밀도가 높아짐에 따라, 비아(via), 콘택(contact) 및 기타 피쳐의 폭, 그리고 그들 사이의 유전체 물질의 폭이 미크론-이하의 크기로 감소되는 반면, 유전체 층의 두께는 거의 일정하게 유지되며, 그 결과, 피쳐의 종횡비 즉, 그 높이를 폭으로 나눈 비율이 커지게 되었다. 미크론-이하의 기술을 성공하는데 있어서 그리고 회로 밀도 및 각 기판의 품질을 높이기 위한 계속적인 노력에 있어서, 높은 종횡비의 피쳐를 신뢰할 수 있게 형성하는 것이 중요하다.
통상적으로, 높은 종횡비의 피쳐는 피쳐들의 치수(dimensions)을 규정하기 위해 기판의 표면을 패턴닝함으로써 그리고 이어서 기판을 에칭하여 물질을 제거함으로써 형성된다. 원하는 높이 대 폭의 비율을 가지는 고종횡비 피쳐를 형성하기 위해, 피쳐의 임계 치수(CD)로서 통상적으로 규정되는 특정 파라미터내에서 피쳐의 크기가 형성될 필요가 있다. 결과적으로, 원하는 임계 치수를 가지는 고종횡비 피쳐를 신뢰할 수 있게 형성하는 것은 정밀한 패터닝 및 후속되는 기판 에칭을 필요로 한다.
포토리소그래피는 기판 표면상에 정밀 패턴을 형성하기 위해 이용되는 기술이며, 그러한 패턴화된 기판을 후속 에칭하여 원하는 소자 또는 피쳐를 형성한다. 포토리소그래피 기술은 광(light) 패턴 및 기판상에 부착된 레지스트 물질을 이용하여 에칭 프로세스에 앞서서 기판 표면상에 정밀한 패턴을 현상한다. 종래의 포토리소그래피 프로세스에서, 에칭될 층상에 레지스트가 도포되고, 포토마스크 층이 도포된 포토리소그래픽 레티클을 통해 광 패턴에 레지스트를 노출시킴으로써 콘택, 비아 또는 인터커넥트(interconnect)와 같이 층내에서 에칭될 피쳐들이 규정된다. 포토마스크 층은 원하는 피쳐 형상에 대응된다. 예를 들어, 레지스트의 조성을 변경시키기 위해, 저선량 X-선 광(low X-ray light) 또는 광 자외선(UV) 광을 방출하는 광원을 이용하여 레지스트를 노출시킬 수 있다. 일반적으로, 노출된 레지스트 물질을 화학적 프로세스로 제거하여 하부의 기판 물질을 노출시킨다. 이어서, 노 출된 하부 기판 물질을 에칭하여 기판 표면에 피쳐를 형성하며, 남아 있는 레지스트 물질은 노출되지 않는 하부 기판 물질에 대한 보호 코팅으로 잔류한다.
통상적으로, 바이너리(binary) 포토리소그래픽 레티클은 기판의 표면에 배치되는 통상적으로 크롬과 같은 포토마스크 또는 불투명한 광-차단 금속 층을 가지는 석영(즉, 이산화 실리콘, SiO2)과 같이 광학적으로 투명한 실리콘계 물질로 제조된 기판을 포함한다. 광-차단 층은 기판에 전사되는 피쳐들에 대응하도록 패턴화된다. 바이너리 포토리소그래픽 레티클은 먼저 광학적으로 투명한 실리콘계 물질을 포함하는 기판상에 얇은 금속 층을 도포하고, 이어서 상기 얇은 금속 층상에 레지스트 층을 도포함으로써 제조된다. 이어서, 통상적인 레이저 또는 전자 비임 패터닝 장비를 이용하여 레지스트를 패터닝하여 금속 층으로 전사될 임계 치수를 규정한다. 이어서, 금속 층을 에칭하여 패턴화된 레지스트에 의해 보호되지 않는 금속 물질을 제거하며; 그에 따라 하부의 광학적으로 투명한 물질을 노출시키고 패턴화된 포토마스크 층을 형성한다. 포토마스크 층들은 광들이 정밀한 패턴으로 통과하여 기판 표면에 도달할 수 있게 허용한다.
습식(wet) 에칭과 같은 종래의 에칭 프로스세들은 등방적으로(isotropically) 에칭하는 경향이 있으며, 이는 패턴화된 레지스트 아래의 금속 층내에 언더컷(undercut) 현상을 초래할 수 있다. 언더컷 현상은 균일하게 이격되지 않고 원하는 직선형의 수직 측벽을 가지지 않는 패턴화된 피쳐들을 포토마스크상에 생성할 수 있고, 그에 따라 피쳐의 임계 치수의 손실(임계 치수 범위의 초과) 을 초래할 수 있다. 추가적으로, 피쳐들의 등방 에칭은 고종횡비 피쳐의 측벽을 과다에칭(overetch)할 수 있으며, 피쳐의 임계 치수의 손실을 초래할 수 있다. 금속 층내에서 원하는 임계 치수로 형성되지 않은 피쳐들은 빛의 통과에 부정적인 영향을 미칠 수 있으며 결과적으로 후속 포토리소그래픽 프로세스에서 포토마스크에 의한 바람직한 패터닝을 달성하지 못하게 할 수 있다.
건식 에칭 프로세스 또는 건식 에칭으로 알려진 플라즈마 에칭 프로세싱은 습식 에칭 프로세싱 보다 더 비등방적인(anisotropic) 에칭을 제공한다. 건식 에칭 프로세스는 보다 적은 언더컷을 생성할 수 있고 또 보다 직선형의 측벽 및 보다 평평한 바닥을 가지는 포토마스크 피쳐의 임계 치수 유지력을 개선할 수 있다고 알려져 있다. 그러나, 건식 에칭은 금속 층의 임계 치수를 규정하기 위해 이용되는 레지스트 물질내에 형성된 패턴 또는 개구부의 측벽을 과다하게 또는 부정확하게 에칭할 수 있다. 레지스트 물질의 과다한 측부 제거는 패턴화된 레지스트 피쳐의 임계 치수의 손실을 초래하며, 이는 패턴화된 레지스트 층에 의해 규정되는 금속 층에 형성된 피쳐들의 임계 치수의 손실로 이어질 것이다. 또한, 부정확한 에칭은 필요한 임계 치수를 제공할 수 있을 정도로 피쳐를 충분히 에칭하지 못할 수 있다. 피쳐들을 임계 치수까지 충분히 에칭하지 못하는 것을 임계 치수의 "이득(gain)"이라 칭한다. 금속 층내의 임계 치수의 손실 또는 이득의 정도를 "에칭 바이어스(bias)" 또는 "CD 바이어스"라고 한다. 에칭 바이어스는 기판 표면상에 0.14 ㎛ 피쳐를 형성하기 위해 이용되는 포토마스크 패턴에서 120 nm 만큼 클 수도 있다.
금속 층내에 형성된 패턴의 임계 치수의 손실 또는 이득은 통과하는 빛에 부 정적인 영향을 미칠 수 있고 포토리소그래픽 레티클에 의해 패턴화된 기판내에 수 많은 패터닝 결함 및 후속 에칭 결함을 생성할 수 있다. 포토마스크의 임계 치수의 손실 또는 이득은 미크론-이하 피쳐의 고종횡비 에칭을 위한 충분한 포토리소그래픽 성능을 제공하지 못하게 할 수 있고, 임계 치수의 손실이나 이득이 심각하다면 포토리소그래픽 레티클 또는 후속하는 에칭된 소자의 불량을 초래할 수 있다.
그에 따라, 레티클과 같은 기판상의 금속 층을 에칭하여 금속 층내에 원하는 임계 치수를 가지는 패턴을 형성할 수 있는 프로세스 및 화학물질이 요구되고 있다.
본 발명은 포토리소그래픽 레티클을 위한 포토마스크 층을 에칭하기 위한 방법 및 관련 화학물질을 제공한다. 일 측면에서, 프로세싱 챔버내에서 포토리소그래픽 레티클을 프로세싱하는 방법이 제공된다. 레티클은 광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층에 도포된 패턴화된 레지스트 물질을 포함한다. 레티클은 산소 함유 가스, 염소 함유 가스, 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3), 그리고 선택적으로 염소가 없는 할로겐 함유 가스 및/또는 불활성 가스를 포함하는 프로세싱 가스를 도입함으로써 프로세싱된다. 전력이 프로세싱 챔버로 공급되어 프로세싱 가스로부터 플라즈마를 형성한다. 후속하여, 플라즈마를 이용하여 금속 포토마스크 층의 노출된 부분을 에칭한다.
다른 측면에서, 프로세싱 챔버내에서 지지 부재상의 포토리소그래픽 레티클을 프로세싱하는 방법이 제공된다. 레티클은 광학적으로 투명한 실리콘계 물질상에 형성된 크롬계 포토마스크 층 및 상기 크롬계 포토마스크 층에 도포된 패턴화된 레지스트 물질을 포함한다. 레티클은 염소 가스, 산소 가스, CHF3, SF6, C2F6 또는 NH3 중 하나 이상, 그리고 선택적으로 하이드로젠 보로마이드를 포함하는 프로세싱 가스를 약 1 밀리토르(milliTorr) 내지 약 40 밀리토르의 챔버 압력으로 도입함으로써 프로세싱된다. 약 200 내지 약 1500 와트의 소오스 전력(source power)이 프로세싱 챔버에 인접하여 배치된 코일로 공급되어 프로세스 가스로부터 플라즈마를 형성한다. 약 5 내지 약 200 와트의 바이어스 전력이 지지 부재로 공급된다. 후속하여, 플라즈마를 이용하여, 크롬계 포토마스크 층 대 레지스트 물질의 제거율이 약 1:1 또는 그 이상이 되도록 크롬계 포토마스크 층의 노출된 부분을 에칭한다.
본 발명의 전술한 측면들을 보다 구체적으로 이해할 수 있도록, 첨부 도면과 관련된 실시예들을 참조하여, 위에서 간단하게 설명한 본 발명을 보다 구체적으로 설명한다.
그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예들을 도시한 것이며, 따라서 본 발명의 범위를 제한하는 것으로 간주되지 않아야 할 것이며, 본 발명은 다른 균등한 실시예들도 포함할 수 있다는 것을 이해할 것이다.
이해를 돕기 위해, 도면에서, 동일한 구성요소에 대해서는 가급적 동일한 도면 부호로 표시하였다. 추가적으로 인용하여 설명하지 않더라도, 일 실시예의 특징들은 다른 실시예들에 유리한 방향으로 포함될 수 있을 것이다.
유도 결합 플라즈마 에칭 챔버를 참조하여, 본 발명의 특징들을 이하에서 설명한다. 적절한 유도 결합 플라즈마 에칭 챔버로는 미국 캘리포니아 헤이워드에 소재하는 ETEC으로 부터 입수가 가능한 ETEC Tetra ITM 포토마스크 에칭 챔버 및 ETEC Tetra IITM 포토마스크 에칭 챔버, 또는 선택적으로, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터 입수 가능한 Decoupled Plasma Source (DPS ITM, DPS IITM 및 DPS PlusTM)가 포함된다.
예를 들어, 용량 결합 병렬 플레이트 챔버, 자기적 강화형 이온 에칭 챔버, 그리고 다른 디자인의 유도 결합 플라즈마 에칭 챔버를 포함하는 다른 프로세스 챔버들도 본 발명의 프로세스 실시에 이용될 수 있을 것이다. 그러한 적합한 프로세싱 챔버의 예가 1999년 6월 3일자로 출원된 미국 특허 출원 제 09/325,026 호에 개시되어 있다. ETEC TetraTM 을 이용하여 프로세스들을 유리하게 실시할 수 있지만, 그러한 프로세싱 챔버에 대한 설명은 단지 예시적인 것으로서, 본 발명의 범위를 제한하거나 한정하거나 판단하는 것은 아니다. 본 발명은 다른 제조업자들이 제조한 프로세싱 챔버들을 포함하는 여러가지 다른 프로세싱 챔버에서도 바람직하게 실시될 수 있을 것이다.
도 1은 프로세싱 챔버(100)의 일 실시예의 단면을 도시하며, 상기 프로세싱 챔버는 기판 받침대(124)를 구비하는 프로세스 챔버 본체(102), 및 제어부(146)를 포함한다. 챔버 본체(102)는 실질적으로 평평한 유전체 천장(108)을 지지하는 전도성 벽(104)을 구비한다. 프로세싱 챔버(100)의 다른 실시예는 다른 타입의 천장, 예를 들어 돔-형상의 천장을 포함할 수도 있을 것이다. 안테나(110)가 천장(108)의 위쪽에 배치된다. 안테나(110)는 선택적으로 제어될 수 있는 하나 이상의 유도 코일 요소를 포함한다[도 1에는 두 개의 동일-축선적 요소(110a 및 110b)가 도시되어 있다]. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라즈마 전력 공급원(112)에 결합된다. 통상적으로, 플라즈마 전력 공급원(112)은 약 50 kHz 내지 약 13.56 MHz 범위의 튜닝가능한 주파수에서 약 3000 Watts(W)까지 공급할 수 있다.
기판 받침대(음극)(124)는 제 2 매칭 네트워크(142)를 통해 바이어싱 전력 공급원(140)에 결합된다. 바이어싱 공급원(140)은 약 1 내지 약 10 kHz의 튜닝가능한 펄스 주파수에서 약 영(zero) 내지 약 600 W를 제공한다. 바이어싱 공급원(140)은 펄스화된 RF 전력 출력을 제공한다. 그 대신에, 바이어싱 공급원(140)이 DC 전력 출력을 제공할 수도 있을 것이다. 또한, 공급원(140)이 일정한 DC 및/또는 RF 전력 출력을 제공할 수도 있을 것이다.
일 실시예에서, 기판 지지 받침대(124)가 정전기 척(chuck; 160)을 포함한다. 정전기 척(160)은 하나 이상의 클램핑 전극(132)을 포함하고, 척 전력 공급원(166)에 의해 제어된다. 다른 실시예에서, 기판 받침대(124)가 서셉터 클램프 링, 진공 척, 기계적 척, 등과 같은 기판 유지 메카니즘을 포함할 수 있다.
가스 패널(120)이 프로세싱 챔버(100)에 결합되어 프로세스 가스 및/또는 기타 가스를 프로세스 챔버 본체(102) 내부로 제공할 수 있다. 도 1에 도시된 실시예에서, 가스 패널(120)은 챔버 본체(102)의 측벽(104)내의 채널(118)에 형성된 하나 이상의 유입구(116)에 결합된다. 하나 이상의 유입구(116)가 다른 위치, 예를 들어 프로세싱 챔버(100)의 천장(108)에 제공될 수도 있을 것이다.
프로세싱 챔버(100)내의 압력은 스로틀 밸브(162) 및 진공 펌프(164)를 이용하여 제어된다. 진공 펌프(164) 및 스로틀 밸브(162)는 챔버 압력을 약 1 내지 약 20 mTorr로 유지할 수 있다.
벽(104)의 온도는 벽(104)을 통해 연장되는 액체-함유 도관(도시 안 됨)에 의해 제어될 수 있을 것이다. 일반적으로, 벽 온도는 약 65℃로 유지된다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄, 스테인리스 스틸 등)으로 제조되고, 전기 접지(106)에 연결된다. 프로세싱 챔버(100)는 또한 통상적인 프로세스 제어 시스템, 내부 진단 장치, 종료 시점 결정 장치 등을 포함할 것이다. 그러한 시스템들은 지원 시스템(154)으로서 집합적으로 도시되어 있다.
레티클 어댑터(adapter; 182)를 이용하여 기판(122)(예를 들어, 레티클 또는 기타 공작물)을 기판 지지 받침대(124)상에 고정한다. 일반적으로, 레티클 어댑터(182)는 받침대(124)의 상부 표면[예를 들어, 정전기 척(160)]을 덮도록 가공된 하부 부분(184) 및 기판(122)을 유지할 수 있는 크기 및 형상을 가지는 개구부(188)를 구비하는 상부 부분(186)을 포함한다. 일반적으로, 개구부(188)는 받침대(124)에 대해 실질적으로 중심에 위치된다. 일반적으로, 어댑터(182)는 폴리이미드 세라믹 또는 석영과 같이 에칭 및 높은 온도에 대해 내성을 가지는 물질로 이루어진 단일체로 형성된다. 적절한 레티클 어댑터가 2001년 6월 26일자로 허여된 미국 특허 제 6,251,217 호에 개시되어 있다. 엣지(edge) 링(126)이 어댑터(182)를 덮거나 및/또는 받침대(124)에 고정할 수 있다.
승강 메카니즘(138)을 이용하여 어댑터(182) 및 그에 따른 기판(122)을 기판 지지 받침대(124)상으로 또는 그 받침대로부터 하강 또는 상승시킬 수 있다. 일반적으로, 승강 메카니즘(138)은 각 가이드 홀(136)을 통해 이동되는 다수의 승강 핀[하나의 승강 핀(130)이 도시되어 있다]을 포함한다.
작동 중에, 기판(122)의 온도는 기판 받침대(124)의 온도를 안정화시킴으로 써 제어된다. 일 실시예에서, 기판 지지 받침대(124)는 히터(144) 및 선택적인 히트 싱크(heat sink; 128)를 포함한다. 히터(144)는 열 전달 유체가 통과하도록 구성된 하나 이상의 유체 도관일 수 있다. 다른 실시예에서, 히터(144)는 히터 전력 공급부(168)에 의해 조정되는 하나 이상의 가열 요소(134)를 포함할 수 있다. 선택적으로, 배경(backside) 가스[예를 들어, 헬륨(He)]가 가스 공급원(156)으로부터 가스 도관(158)을 통해 기판(122) 아래쪽의 받침대 표면에 형성된 채널로 공급된다. 배경 가스는 받침대(124)와 기판(122) 사이의 열 전달을 촉진하기 위해 사용된다. 프로세싱 중에, 받침대(124)는 매립된 히터(144)에 의해 안정-상태 온도로 가열될 수 있으며, 그러한 받침대는 헬륨 배경 가스와 조합되어 기판(122)의 균일한 가열을 촉진할 수 있다.
제어부(146)는 중앙처리유닛(CPU; 150), 메모리(148), CPU(150)을 위한 지원 회로(152)를 포함하고, 이하에서 보다 구체적으로 설명하는 바와 같이, 에칭 프로세스의 제어와 같은 프로세싱 챔버(100)의 부품들의 제어를 돕는다. 제어부(146)는 여러 챔버들 및 하위-프로세서(sub-processor)를 제어하기 위한 산업적 셋팅에 이용될 수 있는 하나의 임의 형태의 범용 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리(148)는 해당 지역 또는 이격지의 램(RAM), 롬(ROM), 플로피 디스크, 하드 디스크 또는 다른 형태의 디지털 저장장치와 같은 하나 이상의 용이하게 이용할 수 있는 메모리가 될 수 있다. 지원 회로(152)는 종래의 방식으로 프로세서를 지원하기 위해 CPU(150)에 연결된다. 이러한 회로는 캐시(cache), 전력 공급원, 클록 회로, 입력/출력 회로 및 하위 시스템(subsystem) 등을 포함한다. 일반적으로, 본 발명의 방법은 소프트웨어 루틴으로서 메모리(148) 또는 CPU(150)에 접근할 수 있는 컴퓨터-판독가능한 기타 매체에 저장된다. 그 대신에, 그러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격지에 위치된 제 2 CPU(도시 안 됨)에 의해 저장 및/또는 실행될 수 있다.
이하의 프로세스 설명이 본 명세서에 기재된 바와 같이 프로세싱 가스를 이용하여 기판을 에칭하는 하나의 실시예에 관한 것이지만, 본 발명은 300mm 기판 프로세싱에 대한 포토리소그래픽 레티클과 같은 다른 기판 크기에 대해서 그리고 다른 에칭 챔버와 같은 다른 장치에서 이러한 프로세스를 실시하기 위해서 본 명세서에 기재된 범위를 벗어난 프로세싱 파라미터들을 이용하는 것을 포함한다.
예시적인 에칭 프로세스
이하의 설명이 포토리소그래픽 레티클 제조에서의 포토마스크로서 크롬 및 크롬 산질화물(oxynitride)과 같은 금속 층을 에칭하기 위한 프로세스 시퀀스(sequence)의 일 실시예에 관한 것이지만, 상기 에칭 가스들을 이용하여 반도체 및 포토리소그래픽 레티클 제조시에 기판상에 형성된 기타 물질 층을 에칭할 수도 있을 것이다.
일반적으로, 포토리소그래픽 레티클은 광학적으로 투명한 기판상에 도포된 포토마스크고 하는 불투명한 층을 포함한다. 불투명한 층은 금속 층, 예를 들어, 크롬, 또는 포토마스크로서 이용하기에 적합하다고 소위 당업계에 공지된 또는 공지되지 않은 다른 물질을 포함할 수 있다. 예를 들어, 본 발명에서, 불투명한 층이 비-금속 유전체 물질을 포함할 수 있다. 기판(122)의 광학적으로 투명한 물질 은, 예를 들어, 약 300 나노미터(nm) 이하의 파장을 가지는 광에 대해, 예를 들어 248 nm 내지 193 nm 파장의 자외선 광에 대해 투명한 물질을 포함하는 것으로 넓게 규정된다.
도 2는 에칭 프로세스(200)의 하나의 프로세스 시퀀스의 일 실시예에 대한 흐름도이다. 그러한 흐름도는 설명을 위한 것으로서 본 발명의 범위를 제한하는 것이 아니다. 도 3a 내지 도 3c는 포토마스크 형성 프로세스 중에 여러 지점에서의 포토리소그래픽 레티클의 구성을 도시하고 또 도 2에 도시되고 전술한 바와 같은 프로세스을 추가적으로 도시한다.
통상적으로 광학적 품질의 석영, 용융 실리카 물질, 몰리브덴 실리사이드(MoSi), 몰리브덴 실리콘 산질화물(MoSixNyOz), 칼슘 플루오라이드, 알루미나, 사파이어, 또는 그 조합과 같은 광학적으로 투명한 물질(310)을 포함하는 기판(122)이 도 1의 프로세싱 챔버(100)와 같은 단계(210)에서의 프로세싱 챔버로 제공된다.
이어서, 기판(122)은 도 3a에 도시된 바와 같이 단계(220)에서 통상적으로 크롬을 포함하는 금속 포토마스크 층과 같은 불투명 금속 층(320)을 기판 물질(310)상으로 도포함으로써 프로세싱된다. 크롬 층은 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술과 같이 당업계에 공지된 종래의 방법에 의해 도포될 수 있다. 통상적으로, 금속 층(320)은 약 50 내지 약 100 nm의 두께로 부착되나; 그 금속 층(320)의 두께는 제조업자의 요건 및 기판이나 금속 층의 물질 조성에 따라 달라질 수 있을 것이다.
선택적으로, 반사방지-코팅(ARC 또는 ARC 층)이 도포된 금속 층(320)상에 형성되거나 그 일부를 구성할 수 있다. ARC 층은 불투명한 층에 형성되는 패터닝 피쳐의 포토리소그래픽 정밀도를 개선하는 것으로 믿어진다. ARC 층은 예를 들어 크롬 산질화물과 같은 금속 산질화물 층을 형성하기 위해 비금속 오염물질 또는 불순물을 포함하는 금속 층이 될 수 있다. 크롬 산질화물은 금속 층의 부착 중에 형성될 수 있고 또는 금속 층을 산화 및 질화 분위기와 같은 적절한 대기중에 노출시킴으로써 형성될 수 있다. 그 대신에, 크롬 산질화물 층이 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술과 같이 당업계에 공지된 종래의 방법에 의해 부착될 수 있다. 금속 산질화물 층은 금속 층(320)의 전체 두께의 상부 25 퍼센트까지 차지할 수 있을 것이다.
통상적으로, 광학적 ARC 층은 약 10 nm 내지 약 15 nm의 두께로 형성되나; 그 층의 두께는 기판 또는 금속 층을 구성하는 물질의 조성 및 제조업자의 요건에 따라 달라질 수 있을 것이고, 최초 금속 층(320) 두께의 상부 30퍼센트와 같이 부착된 물질의 상부 표면내에 주로 집중될 것이다. 크롬 산질화물 필름은 크롬 필름 보다 산소 라디칼과의 에칭에 보다 민감한 것으로 믿어진다. 프로세싱 가스내의 감소된 산소량을 이용하여 잔류 크롬 물질의 벌크(bulk)를 에칭하는 것에 비해 크롬 산질화물 표면을 보다 효과적으로 에칭할 수 있을 것이다.
도 3b에 도시된 바와 같이 단계(230)에서 금속 층(320)을 노출시키기 위해 레지스트 물질(330)을 부착하고 에칭함으로써 금속 층(320)내의 패턴 또는 개구부 의 소정 범위가 패턴화된다. 포토리소그래픽 레티클 제조에 이용되는 레지스트 물 질은 일반적으로 저온 레지스트 물질이며, 그러한 저온 레지스트 물질은 약 250℃ 이상의 온도에서 열적으로 열화(劣化)되는 물질, 예를 들어 Hoya Corporation 등에 의해 제조된 "ZEP"를 포함하는 것으로 규정된다. 레지스트 물질(330)은 약 200 nm 내지 약 600 nm 두께로 금속 층(320)상에 부착된다.
레지스트 물질은 포토레지스트 물질일 수 있으며, 그러한 물질은 레이저 패터닝 장치를 이용하여 또는 전자 비임 에미터와 같은 다른 복사 에너지 패터닝 장치에 의해 광학적으로 패터닝되어 금속 층(320)내에 형성되는 피쳐 해상도(definition)의 정도를 규정하는데 이용되는 패턴(325)을 형성한다.
이어서, 불투명한 금속 층이 에칭되어 원하는 임계 치수의 피쳐를 가지는 포토마스크 층을 생성한다. 이어서, 기판(122)은 금속 층(320)의 에칭을 위해 전술한 프로세싱 챔버(100)와 같은 에칭 챔버로 이동된다. 도 3c에 도시된 바와 같은 단계(240)에서, 금속 층을 에칭하여 하부의 광학적으로 투명한 기판 물질, 그리고 선택적으로, ARC 층을 노출시킴으로써, 개구부 및 패턴(355)이 금속 층(320)내에 형성된다.
불투명한 금속 층(320)의 노출 부분을 에칭하는 것은 프로세싱 챔버(100)로 소오스 전력 및/또는 바이어스 전력을 공급하여 프로세싱 가스의 플라즈마를 생성함으로써 이루어진다. 프로세싱 가스를 이용하여 금속 층을 에칭할 수 있다.
산소 함유 가스는 산소(O2), 일산화탄소(CO), 이산화탄소(CO2), 및 그 조합 중 하나 이상을 포함하는 그룹으로부터 선택된다. 일 실시예에서, 산소 함유 가스 는 에칭 라디칼의 공급원을 제공한다. 선택된 일산화탄소(CO) 및 이산화탄소(CO2) 가스는 에칭 바이어스를 개선할 수 있는 부동태화(passivating) 폴리머 부착물을 형성하기 위한 물질의 공급원을 제공할 것이다.
염소 함유 가스는 염소 가스(Cl2), 카본 테트라클로라이드(CCl4), 하이드로젠 클로라이드(HCl), 및 그 조합 중 하나 이상을 포함하는 그룹으로부터 선택된다. 일 실시예에서, 염소 함유 가스는 Cl2 이다. 염소 함유 가스는 금속 층을 에칭하기 위한 높은 반응성 라디칼을 공급하는데 이용된다. 카본 테트라클로라이드(CCl4) 가스와 같은 염소 함유 가스는 에칭 라디칼 및 성분의 공급원을 제공하며, 에칭 바이어스를 개전할 수 있는 부동태화 폴리머 부착물을 형성하는 물질의 공급원을 제공할 수도 있다. 포토레지스트에 대한 크롬의 에칭 선택비(selectivity)를 높이기 위해서, 그리고 에칭 바이어스를 감소시키기 위해서, 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 및 암모니아(NH3)와 같은 다른 염소 함유 가스를 선택할 수도 있다.
선택적으로, 무-염소(chlorine-free) 할로겐 함유 가스가 포함될 수 있다. 무-염소 할로겐 함유 가스는 하이드로젠 보로마이드(HBr), 하이드로젠 아이오다이드(hydrogen iodide; HI), 및 그 조합 중 하나 이상을 포함하는 그룹으로부터 선택될 수 있다. 일 실시예에서, 무-염소 할로겐 함유 가스는 HBr 이다. 또한, 프로세싱을 위해 하이드로젠 보로마이드가 수성 용액으로부터 공급될 수 있고, 또는 하 이드로보로믹 산으로서의 수성 성분을 가질 수도 있다. 포토레지스트 및 금속 에칭 속도를 감소시키고 포토레지스트 및 금속 측벽을 부동태화시켜 과다에칭을 최소화할 수 있고 원하는 임계 치수를 유지할 수 있으며 에칭 바이어스를 개선할 수 있는 금속 층을 에칭하기 위한 반응성 라디칼 및 하이드로젠을 공급하기 위해 무-염소 할로겐 함유 가스를 이용할 수도 있을 것이다.
염소 함유 가스 및 무-염소 할로겐 함유 가스가 이용되는 경우, 그 염소 함유 가스 및 무-염소 할로겐 함유 가스는 염소 함유 가스 대 무-염소 할로겐 함유 가스의 몰 비율(molar ratio)이 약 10:1 내지 약 0.5:1, 예를 들어 염소 대 하이드로젠 보로마이드 몰 비율이 약 10:1 내지 약 0.5:1이 되도록 제공된다.
또한, 프로세싱 가스는 불활성 가스를 포함할 수 있고, 그러한 불활성 가스는 프로세싱 가스를 포함하는 플라즈마의 일부로서 이온화되었을 때 스퍼터링 종(species)을 초래하여 피쳐의 에칭 속도를 높인다. 플라즈마의 일부로서의 불활성 가스의 존재는 또한 활성 프로세싱 가스의 분해를 촉진한다. 결과적으로, 불활성 가스는 라디칼 에칭 속도 제어를 돕는다. 에칭 속도는 센터 패스트(center fast; 중간 부분이 빠른) 또는 센터 슬로우(center slow)가 되도록 제어될 수 있다. 불활성 가스의 예를 들면 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 그 조합을 포함하며, 일반적으로는 아르곤 및 헬륨이 주로 이용된다. 통상적으로, 불활성 가스는 프로세스에 대한 총 가스 유동의 약 5 부피% 내지 약 40 부피%, 예를 들어 약 15 부피% 내지 약 25 부피%를 차지한다. 에칭 프로세싱 가스 도입 이전의 플라즈마 개시를 위한 플라즈마 충돌(striking)을 위해, 불활성 가스가 사용되는 프로세스 가스의 약 75 부피% 내지 약 100 부피%를 차지할 수도 있다.
에칭 챔버내에서 150 mm x 150 mm 의 정사각형 포토리소그래픽 레티클을 에칭하는 경우에, 불활성 가스를 포함하는 프로세싱 가스의 총 유량이 약 100 sccm 내지 700 sccm의 유량으로 도입된다. 산소 함유 가스가 약 5 sccm 내지 약 200 sccm, 예를 들어 약 20-50 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 염소 함유 가스는 약 25 sccm 내지 약 1000 sccm, 예를 들어 약 150-300 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 선택적으로 무-염소 할로겐 함유 가스와 함께, CHF3, SF6, C2F6 또는 NH3 중 하나 이상이 약 1 sccm 내지 약 50 sccm, 예를 들어 약 1-5 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 불활성 가스가 이용될 때, 약 5 sccm 내지 약 100 sccm, 예를 들어 약 20-45 sccm의 유량이 제공될 수 있다.
프로세싱 가스의 각각의 가스 유량 및 총 가스 유량은 프로세싱 챔버(100)의 크기, 프로세싱되는 기판(122)의 크기, 및 운전자가 요구하는 특정 에칭 프로파일과 같은 수 많은 프로세싱 인자들에 의해 달라질 수 있을 것이다.
일반적으로, 약 15000 W 이하의 소오스(source) RF 전력 레벨이 인덕터 코일에 인가되어 에칭 프로세스 중에 프로세싱 가스의 플라즈마를 생성하고 유지한다. 약 200 W 내지 약 1500 W의 전력 레벨, 예를 들어 약 300-350 W의 전력 레벨이 기판 표면을 에칭하기 위한 충분한 프로세싱 가스 플라즈마를 제공하는 것으로 관찰 되었다. 전술한 소오스 RF 전력 레벨은 기판에 부착된 노출 금속 층을 에칭하기에 충분한 라디칼 및 폴리머화 라디칼을 프로세싱 가스로부터 생성하면서, 약 150 ℃ 이하의 기판 온도의 경우에 종래의 금속 에칭 프로세스에 비해 상당히 낮은 전력 레벨을 제공한다는 것이 관찰되었다.
일반적으로, 기판(122)의 표면에 대한 에칭 라디칼의 방향성(directionality)을 높이기 위해 약 200 Watt 미만의 바이어스 전력이 기판(122)에 인가된다. 50 W 미만의 바이어스 전력이 에칭 프로세스에 이용될 수 있다. 약 15 W 내지 20 W의 바이어스가 에칭 프로세싱 중에 에칭 라디칼의 충분한 방향성을 제공하는 것으로 관찰되었다
기판 표면의 노출된 물질은, 에칭되는 물질의 품질에 따라, 약 15 초 내지 약 400 초, 예를 들어 약 30 초 내지 약 350 초 동안 프로세싱 가스의 플라즈마에 의해 에칭될 수 있다. 임의의 ARC 층 물질이 약 5 초 내지 약 180 초, 예를 들어 약 30 초 내지 약 60초 동안 제 1 프로세싱 가스의 플라즈마에 노출될 수 있으며, 그러한 노출 시간은 총 에칭 시간에 추가되거나 포함될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 1 밀리토르 내지 약 40 밀리토르, 바람직하게는 약 3 밀리토르 내지 약 8 밀리토르로 유지되며, 에칭 프로세싱 중에 그 압력으로 유지될 것이다.
또한, 기판(122)은 프로세싱 중에 약 150℃ 이하의 온도에서 유지된다. 약 150℃ 이하의 기판 온도는 본 명세서에 기재된 프로세싱 가스를 이용한 포토리소그래픽 레티클 제조 프로세스 중에 기판상에 부착되는 레지스트 물질과 같은 물질의 열적 열화(劣化)을 최소화한다. 약 20 ℃ 내지 약 150 ℃, 예를 들어 약 20 ℃ 내지 약 50 ℃의 기판 온도를 이용하여 기판 표면상에 부착된 물질의 열적 열화를 최소화하면서 포토마스크 피쳐를 에칭할 수 있을 것이다. 또한, 프로세싱 챔버(100)의 측벽(104)을 약 70 ℃ 미만의 온도로 유지하고, 돔(dome)을 바람직하게 약 80 ℃ 미만의 온도로 유지하여, 일정한 프로세싱 조건을 유지하고 또 프로세싱 챔버의 표면상에 폴리머가 형성되는 것을 최소화할 수 있다.
에칭 프로세스의 예가 이하에 설명된다. 기판(122)이 지지 부재(124)상에 배치되고, 본 명세서에 기재된 바와 같은 프로세싱 가스가 챔버(100)내로 도입되며, 산소 가스(O2), 염소 가스(Cl2), 그리고 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상의 추가적인 가스, 그리고 선택적으로 하이드로젠 보로마이드(HBr) 및/또는 아르곤 또는 헬륨과 같은 불활성 가스로 이루어진 프로세싱 가스를 약 100 sccm 내지 약 200 sccm의 유량으로 도입함으로써 그리고 그러한 프로세싱 가스로부터 플라즈마를 생성함으로써 금속 층(320)을 에칭하기 위한 플라즈마가 생성되고 유지된다. 산소 가스가 프로세싱 챔버(100)내로 약 5 sccm 내지 약 200 sccm의 유량으로 도입되고, 염소 가스가 프로세싱 챔버(100)내로 약 25 sccm 내지 약 1000 sccm 의 유량으로 도입되며, 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상의 추가적인 가스 그리고 선택적으로 하이드로젠 보로마이드(HBr) 가스가 약 1 sccm 내지 약 50 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 불활성 가스, 예를 들어, 아르곤이 약 5 sccm 내지 약 100 sccm의 유량으로 프로세싱 챔버(100)내로 도입된다. 염소 가스 및 하이드로젠 보로마이드가 제공되는 경우에, 프로세싱 가스에서의 염소 가스 대 하이드로젠 보로마이드의 비율은 약 10:1 내지 약 0.5:1 이다. 불활성 가스, 예를 들어 아르곤이 약 5 sccm 내지 약 100 sccm 의 유량으로 프로세싱 챔버(100)로 도입된다. 염소 가스 및 하이드로젠 보로마이드가 제공되는 경우에, 프로세싱 가스에서 염소 가스 대 하이드로젠 보로마이드의 비율은 약 10:1 내지 약 0.5:1 이다.
에칭 프로세스 중에 프로세싱 가스의 플라즈마를 생성하고 유지하기 위해 약 200 W 내지 약 1500 W, 예를 들어 300-350 W의 소오스 RF 전력을 인덕터 코일에 인가함으로써 플라즈마가 발생된다. 약 25 W 내지 약 200 W, 예를 들어 약 15-20 W의 바이어스 전력이 기판 지지부(124)에 인가된다. 에칭 프로세스는 약 90초 내지 약 400초, 예를 들어 약 350초 동안 실시된다. 금속 층(320) 에칭 프로세스의 종료점은 광학식 방출 종료점 제어부에 의해 모니터링될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 1 밀리토르 내지 약 40 밀리토르, 예를 들어 약 3 밀리토르, 약 5 밀리토르, 또는 약 8 밀리토르로 유지된다. 에칭 프로세스 중에 기판 온도는 약 20℃ 내지 약 100℃이다. 추가적으로, 프로세싱 챔버(100)의 측벽(104)은 약 70℃ 미만의 온도에서 유지되고, 돔은 약 80℃ 미만의 온도에서 유지된다. 일반적으로, 전술한 금속 에칭 프로세스는 약 3:1 이상의 금속 층 대 레지스트의 선택비를 생성한다.
그 대신에, 기판으로부터 원하는 모든 물질의 제거를 보장하기 위해 에칭 프 로세스 후에 과다에칭 단계를 실시할 수도 있다. 과다에칭은 금속 층(320)을 에칭하기 위해 임의의 적절한 프로세싱 가스를 이용할 수 있다. 예를 들어, 과다에칭 가스는 본 명세서에 기재된 산소 함유 가스, 염소 함유 가스, 무-염소 할로겐 함유 가스, 및 불활성 가스 중 하나 이상 또는 전부를 포함할 수 있다.
그 대신에, 본 명세서에 기재된 바와 같이 ARC 물질이 금속 층상에 형성된다면, ARC 물질은 금속 층 에칭 프로세스 중에 금속 층과 함께 제거될 수 있고 또는 금속 층의 에칭 전에 에칭 프로세스에 의해서 제거될 수 있을 것이다. ARC 에칭 프로세스 및 금속 층 에칭 프로세스의 예가 "포토마스크를 에칭하기 위한 다단계 프로세스"라는 명칭으로 2004년 3월 18일자로 출원된 미국 특허 출원 제 10/803,867 호에 보다 구체적으로 개시되어 있다.
본 명세서에 기재된 조건하에서 실시되는 본 명세서에 기재된 에칭 프로세스는 약 1:1 또는 그 이상의 제거 비율 즉, 금속 층 대 레지스트의 선택비 또는 에칭 바이어스를 달성한다. 약 1:1 이상의 금속 대 레지스트의 선택비가 본 명세서에 기재된 에칭 프로세스에 의해 프로세싱된 기판(122)에서 관찰되었다. 약 3:1 이상의 금속 대 레지스트의 선택비가 본 명세서에 기재된 에칭 프로세스에 의해 프로세싱된 기판에서 관찰되었다. 증대된 선택비로 인해, 에칭 프로세싱이 포토레지스트 층내에 패턴화된 임계 치수를 유지할 수 있게 되고, 에칭된 크롬 피쳐가 원하는 임계 치수를 가질 수 있게 된다.
또한, 본 명세서에 기재된 에칭 프로세스들은 피쳐 레지스트 물질내의 "측부"와 무관하게 "상단" 또는 상부 표면 레지스트 물질을 제거하는 것으로 관찰되었 으며, 이는 비등방성 에칭 및 개선된 피쳐 형성에 보다 유리하다. 추가적으로, 프로세싱된 기판들은 거의 수직인 프로파일, 즉 피쳐의 측벽과 피쳐의 바닥이 약 90도가 되는 바람직한 임계 치수를 가지는 피쳐를 제조하였으며, 이와 대비하여 종래 기술에 따른 피쳐는 약 85 내지 약 88 도의 각도를 가졌었다.
선택적으로, 플라즈마 충돌을 이용하여 금속 층(320)을 에칭하기 위한 플라즈마를 생성할 수 있다. 에칭 프로세스에 대해서 본 명세서에 기재된 유량 및 조성으로 프로세싱 가스를 도입하기에 앞서서 플라즈마 충돌을 이용하여 플라즈마를 생성 또는 개시할 수 있다. 플라즈마 충돌은 본 명세서에 기재된 프로세싱 가스의 조성물 또는 불활성 가스를 이용할 수 있다.
플라즈마 충돌 프로세스의 프로세싱 조건 및 플라즈마 조건은, 프로세싱 가스의 프로세싱 가스 성분, 총 유량, 챔버 압력, 소오스 전력, 및 바이어스 전력을 포함하여, 본 명세서에 기재된 프로세싱 가스를 이용한 에칭 프로세스의 조건들과 대략적으로 동일할 수 있다. 플라즈마 충돌 프로세스는 약 15초 이하 동안, 예를 들어 약 3초 내지 약 5초 동안 이루어 질 수 있다. 플라즈마 충돌의 한 예는 챔버 압력을 약 1 밀리토르 내지 약 40 밀리토르, 예를 들어 약 3 밀리토르 내지 약 8 밀리토르로 설정하는 단계, 약 200 W 내지 약 1500 W, 예를 들어 약 300-350 W의 소오스 전력을 코일로 공급하는 단계, 및/또는 약 5 Watt 내지 약 200 W, 예를 들어 약 15 W 내지 약 20 W의 바이어스를 공급하는 단계를 포함한다. 플라즈마를 충돌시키기 위해 이용되는 소오스 전력은 기판(122)의 에칭 중에 이용되는 전력 보다 작을 것이다.
금속 층(320)의 에칭이 완료된 후에, 기판(122)이 프로세싱 챔버(100)로 이송되고, 도 3d에 도시된 바와 같이, 예를 들어 산소 플라즈마 프로세스 또는 당업계에 공지된 기타 레지스트 제거 기술에 의해 나머지 레지스트 물질(330)이 기판(122)으로부터 제거될 것이다.
선택적으로, 포토마스크를 통과하는 광의 해상도를 높임으로써 기판에 형성되는 에칭 패턴의 정확도를 높이기 위해, 감쇠(attenuating) 물질을 이용하여 감쇠 상 천이(attenuating phase shift) 포토마스크를 형성할 수 있을 것이다. 몰리브덴 실리사이드(MoSi) 또는 그 유도체와 같은 감쇠 물질이 불투명한 금속 층(320)과 광학적으로 투명한 기판 표면(310) 사이에 배치되고, 이어서 에칭될 수 있다. 감쇠 물질은 광학적으로 투명한 기판상에 부착되거나 또는 광학적으로 투명한 기판의 제조 중에 광학적으로 투명한 기판에 통합될 수 있다. 예를 들어, 감쇠 물질이 금속 층(320)의 부착에 앞서서 기판 표면상에 배치된다면, 감쇠 물질은 하부의 물질을 노출시키기 위해 단계(250)에서 제 2 포토레지스트 물질을 패턴화된 금속 층(320)에 도포하고 패터닝함으로써 형성될 수 있다. 단계(260)에서, 감쇠 물질로 이루어진 하부 물질, 또는 노출된 기판 자체는, 적절한 경우에, 물질에 적합한 에칭 가스를 이용하여 에칭될 수 있다.
기판상의 감쇠 물질 및 실리콘계 물질과 같은 광학적으로 투명한 물질을 에칭하는 것의 일 예가 2002년 5월 21일자로 출원된 미국 특허 제 6,391,790 호 및 "포토리소그래픽 레티클을 에칭하는 방법"이라는 명칭의 2003년 5월 13일자 미국 특허 출원 제 10/437,729 호에 보다 구체적으로 개시되어 있다.
전술한 프로세싱 가스 조성 및 프로세싱 과정은 원하는 임계 치수를 가지는 개구부 또는 패턴의 제어가능한 에칭을 제공하는 것으로 믿어진다. 일반적으로, 개구부 또는 패턴의 에칭은 본 명세서에 기재된 프로세싱 가스를 이용할 때 비등방적으로 이루어진다. 비등방적 프로세스는 개구부의 측벽상의 물질 보다 더 빠른 속도로 개구부의 바닥상의 부착 물질을 제거한다. 이는, 개구부의 측벽상의 물질이 개구부의 바닥상의 물질 보다 느리게 제거될 수 있게 한다. 개구부의 측벽을 느린 속도로 에칭하는 에칭 프로세스는 측벽을 보다 덜 과다에칭할 것이며, 이는 에칭되는 개구부의 임계 치수가 보다 잘 유지될 수 있게 하며, 그에 따라 에칭 바이어스를 감소시킨다.
이하에서는 본 발명의 범위를 한정하지 않는 예들을 이용하여 본 발명을 추가적으로 설명한다.
광학적 품질의 석영, 용융 실리카, 몰리브덴 실리사이드, 몰리브덴 실리콘 산질화물(MoSixNyOz), 칼슘 플루오라이드, 알루미나, 사파이어, 또는 그 조합과 같은 광학적으로 투명한 물질로 제조되고, 두께가 예를 들어 약 70 나노미터(nm) 내지 약 100 nm 인 크롬 포토마스크 층이 상부에 배치된 기판을 포함하는 포토리소그래픽 레티클이 레지스트 도포를 위해 프로세싱 챔버내로 도입된다. 총 크롬 깊이의 약 25 이하를 차지할 수 있는 크롬 산질화물로 이루어진 광학적 ARC 층이 형성될 수 있다.
일본에 소재하는 Tokyo-Oka가 상업적으로 공급하는 레지스트 물질인 ZEP와 같은 레지스트, 또는 일본에 소재하는 Tokyo-Oka가 상업적으로 공급하는 CAR 레지스트나 화학적으로 증폭된(amplified) 레지스트가 크롬 산질화물 층상에 도포되고 이어서 통상적인 레이저 또는 전자 비임 패터닝 설비를 이용하여 패턴팅된다. 기판상에 도포된 레지스트의 두께는 약 200 nm 내지 약 600 nm, 예를 들어 약 300 nm 내지 약 400 nm이나, 그 두께는 어떠한 원하는 두께도 될 수 있을 것이다.
본 발명의 예시적인 특징들과 관련하여 설명하였지만, 본 발명의 다른 특징 및 추가적인 특징들도 특허청구범위에 의해 정해지는 본 발명의 범위내에서 이해될 수 있을 것이다.
도 1은 에칭 챔버의 일 실시예의 개략적인 단면도이다.
도 2는 본 발명의 일 실시예에 따른 기판 프로세싱에 대한 시퀀스의 일 실시예를 도시한 흐름도이다.
도 3a 내지 도 3d는 본 발명의 다른 실시예의 에칭 시퀀스를 도시한 단면도이다.

Claims (25)

  1. 포토리소그래픽 레티클을 프로세싱하는 방법으로서:
    광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층에 도포된 패턴화된 레지스트 물질을 포함하는 레티클을 프로세싱 챔버내의 지지 부재상에 위치시키는 단계;
    산소 함유 가스; 염소 함유 가스; 그리고 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 포함하는 프로세싱 가스를 도입하는 단계;
    상기 프로세싱 가스로부터 플라즈마를 형성하기 위해 상기 프로세싱 챔버로 전력을 공급하는 플라즈마 형성 단계; 및
    플라즈마를 이용하여 금속 포토마스크 층의 노출된 부분을 에칭하는 단계를 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 무-염소 할로겐 함유 가스를 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  3. 제 2 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 하이드로젠 보로마이드 또는 하이드로젠 아이오다이드 중 하나 이상을 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 산소, 일산화탄소 또는 이산화탄소를 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 염소, 카본 테트라클로라이드, 하이드로클로릭 산을 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 금속 포토마스크 층이 크롬, 크롬 산질화물, 또는 그 조합을 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 금속 포토마스크 층이 크롬 산질화물로 이루어진 반사방지 코팅을 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  8. 제 1 항에 있어서,
    상기 광학적으로 투명한 기판이 석영, 몰리브덴 실리사이드, 몰리브덴 실리콘 산질화물, 및 그 조합으로 이루어진 그룹으로부터 선택된 실리콘계 물질을 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  9. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 아르곤을 5-100 sccm의 유량으로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  10. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 아르곤을 20-45 sccm의 유량으로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 헬륨, 아르곤, 크세논, 네온 또는 크립톤 중 하나 이상을 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  12. 제 1 항에 있어서,
    상기 플라즈마 형성 단계가 상기 프로세싱 챔버내의 코일로 약 200 Watts 내 지 약 1500 Watts의 소오스 RF 전력을 인가하는 단계 및 상기 프로세싱 챔버내의 레티클 지지부로 약 5 Watts 내지 약 200 Watts의 바이어스 전력을 인가하는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  13. 제 1 항에 있어서,
    상기 금속 포토마스크 층의 노출된 부분을 에칭하는 단계가 약 1:1 내지 약 3:1의 금속 포토마스크 층 대 레지스트 물질 비율로 상기 금속 포토마스크 층을 선택적으로 에칭하는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  14. 제 1 항에 있어서,
    트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 약 1 sccm 내지 50 sccm의 속도로 상기 프로세싱 챔버내로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  15. 제 1 항에 있어서,
    트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 약 1 sccm 내지 5 sccm의 속도로 상기 프로세싱 챔버내로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  16. 포토리소그래픽 레티클을 프로세싱하는 방법으로서:
    광학적으로 투명한 실리콘계 물질상에 형성된 크롬계 포토마스크 층 및 상기 크롬계 포토마스크 층에 도포된 패턴화된 레지스트 물질을 포함하는 레티클을 프로세싱 챔버내의 지지 부재상에 위치시키는 단계;
    염소 가스; 산소 가스; 그리고 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 포함하는 프로세싱 가스를 도입하는 단계;
    프로세싱 중에 챔버 압력을 약 3 밀리토르 내지 약 8 밀리토르로 유지하고 상기 레지스트를 약 20℃ 내지 약 150℃의 온도로 유지하는 단계;
    상기 프로세싱 가스로부터 플라즈마를 생성하기 위해 약 300 내지 약 350 Watts의 소오스 전력을 상기 프로세싱 챔버에 인접하여 배치된 코일로 공급하는 단 계;
    상기 크롬계 포토마스크 층의 노출된 부분을 에칭하는 단계; 및
    약 1:1 이상의 크롬계 포토마스크 층 대 레지스트 물질의 제거율로 상기 크롬계 포토마스크 층을 제거하는 단계를 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  17. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 하이드로젠 보로마이드를 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  18. 제 16 항에 있어서,
    상기 크롬계 포토마스크 층이 크롬, 크롬 산질화물, 또는 그 조합을 포함하고, 상기 광학적으로 투명한 실리콘계 물질이 석영, 몰리브덴 실리사이드, 몰리브덴 실리콘 산질화물, 또는 그 조합을 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  19. 제 18 항에 있어서,
    상기 레티클이 크롬 산질화물로 이루어진 반사방지 코팅을 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  20. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 아르곤을 5-100 sccm의 유량으로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  21. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 아르곤을 20-45 sccm의 유량으로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  22. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 헬륨, 아르곤, 크세논, 네온 또는 크립톤 중 하나 이상을 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  23. 제 16 항에 있어서,
    상기 금속 포토마스크 층 및 레지스트 물질이 약 1:1 내지 약 3:1의 금속 포토마스크 층 대 레지스트 물질의 제거율로 제거되는
    포토리소그래픽 레티클 프로세싱 방법.
  24. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 약 1 sccm 내지 50 sccm의 속도로 상기 프로세싱 챔버내로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
  25. 제 16 항에 있어서,
    상기 프로세싱 가스를 도입하는 단계가 상기 프로세싱 챔버내로 트리플루오 로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상을 약 1 sccm 내지 5 sccm의 속도로 상기 프로세싱 챔버내로 유동시키는 단계를 더 포함하는
    포토리소그래픽 레티클 프로세싱 방법.
KR1020070087534A 2006-10-30 2007-08-30 마스크 에칭 프로세스 KR100944846B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86347406P 2006-10-30 2006-10-30
US60/863,474 2006-10-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020090047487A Division KR101333744B1 (ko) 2006-10-30 2009-05-29 포토리소그래픽 레티클을 프로세싱하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20080039205A true KR20080039205A (ko) 2008-05-07
KR100944846B1 KR100944846B1 (ko) 2010-03-04

Family

ID=39052422

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070087534A KR100944846B1 (ko) 2006-10-30 2007-08-30 마스크 에칭 프로세스
KR1020090047487A KR101333744B1 (ko) 2006-10-30 2009-05-29 포토리소그래픽 레티클을 프로세싱하기 위한 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020090047487A KR101333744B1 (ko) 2006-10-30 2009-05-29 포토리소그래픽 레티클을 프로세싱하기 위한 방법

Country Status (6)

Country Link
US (1) US20080179282A1 (ko)
EP (1) EP1918775A3 (ko)
JP (1) JP5484666B2 (ko)
KR (2) KR100944846B1 (ko)
CN (1) CN101174081A (ko)
TW (1) TWI410744B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN103837938A (zh) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 光纤对准器件及其制造方法
CN103730720B (zh) * 2013-12-20 2016-04-13 上海安费诺永亿通讯电子有限公司 一种在有遮挡结构的天线载体表面制作天线线路的方法
CN108132579B (zh) * 2016-12-01 2020-09-25 清华大学 光刻掩模板
CN115360093A (zh) 2018-09-21 2022-11-18 朗姆研究公司 蚀刻金属氧化物和保护腔室部件
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN109557761B (zh) * 2018-12-07 2022-03-08 深圳市华星光电半导体显示技术有限公司 掩膜板制作方法
US20220193828A1 (en) * 2020-12-23 2022-06-23 Amulaire Thermal Technology, Inc. Lift-off structure for sprayed thin layer on substrate surface and method for the same
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
CN113517188B (zh) * 2021-06-29 2024-04-26 上海华力集成电路制造有限公司 采用多层掩模板的图形化工艺方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0023429B1 (en) * 1979-07-31 1985-12-18 Fujitsu Limited Dry etching of metal film
JPS58125829A (ja) * 1982-01-22 1983-07-27 Hitachi Ltd ドライエツチング方法
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
GB2121197A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
US5365515A (en) * 1991-07-17 1994-11-15 Tut Systems, Inc. Network monitor and test apparatus
JP3334911B2 (ja) * 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
JPH06204187A (ja) * 1993-01-06 1994-07-22 Toshiba Corp エッチング方法
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
KR100295385B1 (ko) * 1993-04-09 2001-09-17 기타지마 요시토시 하프톤위상쉬프트포토마스크,하프톤위상쉬프트포토마스크용블랭크스및이들의제조방법
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JPH0915416A (ja) * 1995-06-30 1997-01-17 Sumitomo Chem Co Ltd 低反射ブラックマスクを有する液晶表示素子用カラーフィルター
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JPH11184067A (ja) * 1997-12-19 1999-07-09 Hoya Corp 位相シフトマスク及び位相シフトマスクブランク
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6280646B1 (en) * 1999-07-16 2001-08-28 Micron Technology, Inc. Use of a chemically active reticle carrier for photomask etching
JP4700160B2 (ja) * 2000-03-13 2011-06-15 株式会社半導体エネルギー研究所 半導体装置
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
EP1290495A2 (en) * 2000-06-15 2003-03-12 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US7314690B2 (en) * 2003-04-09 2008-01-01 Hoya Corporation Photomask producing method and photomask blank
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
TWI223350B (en) * 2003-07-17 2004-11-01 Semiconductor Mfg Int Shanghai A new method of mask chrome film etching process by employing electrolysis technique
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication

Also Published As

Publication number Publication date
TW200819908A (en) 2008-05-01
EP1918775A3 (en) 2012-06-06
JP5484666B2 (ja) 2014-05-07
US20080179282A1 (en) 2008-07-31
TWI410744B (zh) 2013-10-01
KR101333744B1 (ko) 2013-11-27
CN101174081A (zh) 2008-05-07
EP1918775A2 (en) 2008-05-07
KR20090077736A (ko) 2009-07-15
JP2008116949A (ja) 2008-05-22
KR100944846B1 (ko) 2010-03-04

Similar Documents

Publication Publication Date Title
KR100944846B1 (ko) 마스크 에칭 프로세스
JP5265174B2 (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
US7521000B2 (en) Process for etching photomasks
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7371485B2 (en) Multi-step process for etching photomasks
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
JP2006215552A5 (ko)
US20020155725A1 (en) Method and apparatus for etching photomasks
JP2004503829A (ja) 基板上のメタル層をエッチングする方法および装置
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee