WO2004093178A1 - クロム系薄膜のエッチング方法及びフォトマスクの製造方法 - Google Patents

クロム系薄膜のエッチング方法及びフォトマスクの製造方法 Download PDF

Info

Publication number
WO2004093178A1
WO2004093178A1 PCT/JP2004/004938 JP2004004938W WO2004093178A1 WO 2004093178 A1 WO2004093178 A1 WO 2004093178A1 JP 2004004938 W JP2004004938 W JP 2004004938W WO 2004093178 A1 WO2004093178 A1 WO 2004093178A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
thin film
chromium
pattern
gas
Prior art date
Application number
PCT/JP2004/004938
Other languages
English (en)
French (fr)
Inventor
Yasuki Kimura
Original Assignee
Hoya Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corporation filed Critical Hoya Corporation
Priority to JP2005505364A priority Critical patent/JP4272654B2/ja
Priority to US10/529,152 priority patent/US7575692B2/en
Publication of WO2004093178A1 publication Critical patent/WO2004093178A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Definitions

  • the present invention relates to a method for etching a chromium-based thin film and a method for manufacturing a photomask.
  • the present invention relates to a method for dry-etching a chromium-based thin film, and more particularly, to a bright method for dry-etching a light-shielding film made of a chromium-based thin film, which is performed in a method for manufacturing a photomask used in semiconductor device manufacturing and the like. Further, the present invention relates to a method for manufacturing a photomask including a step of dry-etching a light-shielding film made of a chromium-based thin film.
  • the current method of creating a chrome-based light-shielding film pattern using a resist pattern as an etching mask requires a fine opening pattern (hole).
  • the method of creating a pattern of a chrome-based light-shielding film using the current resist pattern as an etching mask specifically uses a gas system mainly composed of a mixed gas of C12 + 02, and uses a resist pattern on the Cr film.
  • a method of forming a Cr pattern by dry etching with a mask as a mask is mainly used (see Japanese Patent Application Laid-Open No. 2001-188039).
  • RIE reactive Ion Etching
  • SPIE inductively coupled plasma
  • etching is usually performed at a high plasma density using an ICP power (a condition for obtaining a stable plasma discharge) higher than the ICP power at which an electron density jump occurs (see rsPUTTERING & PLASMA PROCESSESJ, See Vol. 13 No.
  • the square opening pattern (opening) has a larger size and rounded corners.
  • the square shading pattern (remaining part ⁇ Cr part) is reduced in size and the corners are maintained at almost right angles. As a result, there is a difference between the sizes and the shapes of the corners.
  • the effect of this problem on the mask manufacturing process and quality is as follows.
  • the rounded corners of the square opening pattern induce false defects, which is a serious obstacle to the inspection process.
  • the margin in one lithography step in the semiconductor manufacturing process is low. It may cause a lot of man-hours to set down and condition setting.
  • the above conversion difference hinders the formation of a fine pattern on a mask. It is possible to deal with the conversion difference by data sizing, but in this case, the sizing amount increases and the conversion time increases.
  • the conversion difference between the resist and Cr varies greatly depending on the dimensions of the opening pattern (hole).
  • CD linearity The relationship of the shift amount from the pattern design dimension to the change in pattern design dimension. If the shift amount from the pattern design dimension to the pattern design dimension change is large, CD linearity Is described as bad.
  • a large change in the shift amount from the design dimension of the pattern with respect to a change in the design dimension of the pattern means that the variation in the conversion difference between the resist and Cr is large.
  • the CD difference (particularly CD linearity) is degraded because the conversion difference varies depending on the size of the aperture pattern. This causes a reduction in margin in the lithography process in the semiconductor manufacturing process and a large number of man-hours required for setting conditions. This is because it is possible to compensate for the CD accuracy in a separate step such as exposure, but it may not be the optimum condition when considering other CD accuracy than the CD linearity.
  • the cross-sectional shape of Cr depends on the size of the open pattern (hole), and if the size of the open pattern becomes smaller and a micro-aperture pattern is formed, a taper is generated in the cross-sectional shape. There is a problem. The impact of this problem on mask manufacturing process and quality is as follows.
  • the magneto-optical effect causes a large optical dimensional fluctuation that is several times larger than the fluctuation due to the cross-sectional shape. This causes a reduction in a margin in one lithography process in a semiconductor manufacturing process and a large number of man-hours required for setting conditions.
  • the length of the mask is measured using an optical length measuring machine. In the case of performing the measurement, the length measurement accuracy is deteriorated.
  • the first object of the present invention is to provide a method that can be used irrespective of the shape of a pattern (opening pattern (hole), light-shielding pattern (dot), line & space, etc.) and dimensional difference or density difference (particularly, It is another object of the present invention to provide a method for manufacturing a photomask which can reduce the conversion difference and the hole / dot shape difference.
  • the present invention has the following aspects.
  • a thin film of a chromium-based thin film made of a material containing chromium is etched using a resist pattern as a mask, and a dry etching gas containing a halogen-containing gas and an oxygen-containing gas is used.
  • the power for plasma excitation is applied to excite the plasma, and the thin film is etched using the generated chemical species.
  • a method for etching a chromium-based thin film wherein the etching of the thin film is performed by using a power lower than the power for plasma excitation that causes a plasma density jump, as the power for plasma excitation.
  • At least a part of the chemical species is applied to the thin film so that an etching selectivity between the resist pattern and the thin film (etching speed of the thin film Z etching speed of the resist pattern) is less than 1.5.
  • the thin film in the object having a chromium-based thin film made of a material containing chromium is etched using a resist pattern as a mask, and a dry etching gas containing a halogen-containing gas and an oxygen-containing gas is used.
  • a dry etching gas containing a halogen-containing gas and an oxygen-containing gas is used.
  • the power for plasma excitation is applied to excite the plasma, and the thin film is etched using the generated chemical species.
  • the thin film is etched by an isotropic etching component by performing at least a part of the chemical species while vertically incident on the thin film in the presence of an organic substance other than a resist pattern.
  • Embodiment 13 The etching method for a vapor-based thin film according to Embodiment 11 or 12, wherein the organic gas is ethanol.
  • a power lower than a plasma excitation power at which a plasma density jump occurs is used as the plasma excitation power.
  • Photo 1 in which a light-shielding film made of a material containing chromium is formed on a transparent substrate, the photo-shielding film in a mask blank is etched by using the resist layer in the resist pattern as a mask.
  • a method of manufacturing a mask a method of manufacturing a photomask using the method of etching a chromium-based thin film of the seventeenth aspect in the step of etching the light-shielding film.
  • (Embodiment 20) A pattern including a pattern with a CD linearity error of 15 nm or less for a pattern having a design dimension of 0.4 m or more and 2.0 Om or less due to the etching of the light-shielding film.
  • FIG. 1 is a schematic cross-sectional view for explaining a mask substrate on which a resist pattern is formed, which is an object to be etched in the present embodiment.
  • FIG. 2 is a schematic diagram for explaining a schematic configuration of the etching apparatus used in the example.
  • FIG. 3 is a schematic diagram for explaining a modification of the etching apparatus used in the example.
  • FIG. 4 is a schematic diagram for explaining another modification of the etching apparatus.
  • FIG. 5 is a diagram for explaining the CD linearity of the pattern obtained in the comparative example.
  • FIG. 6 is a diagram for explaining the CD linearity of the pattern obtained in the second embodiment.
  • FIG. 7 is a diagram for explaining the cross-sectional shapes of the patterns obtained in the example and the comparative example.
  • a dry etching gas made of a mixed gas containing an octane-containing gas and an oxygen-containing gas is used. Then, a plasma excitation pulse is supplied to excite the plasma, and etching is performed using the generated chemical species.
  • the power for plasma excitation at that time is set to a power lower than the power for plasma excitation at which a plasma density jump occurs.
  • the plasma excitation power is, for example, the ICP power in the case of the ICP method.
  • the second prior art described above shows the relationship between the electron density of the plasma and the ICP power. As the ICP power increases, the electron density of the plasma tends to increase. It is described on the page that there is a density jump in which the electron density of the plasma increases rapidly.
  • the plasma excitation power at which the plasma density jump occurs refers to the plasma excitation power at which the plasma density sharply increases.
  • the plasma discharge tends to be unstable when the ICP power is reduced, and thus has not been employed.
  • the present inventor needs to control the amount of radicals that promote the isotropic etching of the pattern and promote the etching of the side wall. For this purpose, we found that a method of reducing the plasma density by setting the plasma excitation power low was effective. Further, when dry etching is performed, the temperature of the object to be processed usually increases. However, the higher the plasma excitation power, the larger the temperature of the object to be processed.
  • the rise in the temperature of the object to be processed is also a cause of promoting isotropic etching. Therefore, when a rise in the temperature of the object to be processed is suppressed by using a low plasma excitation power as in the present invention, promotion of isotropic etching can be suppressed. For example, when it is difficult to control the temperature of the object to be processed, such as an object having a low thermal conductivity such as a glass substrate of a photomask blank, a temperature at which the object does not become hot during etching. Without intentionally performing the control, the method of the present invention can suppress an increase in the temperature of the object to be processed.
  • the ICP power is set to a low ICP power range of 200 W to 300 W.
  • the amount of change (pattern linearity) of the conversion difference between the register and Cr with respect to the change in the size of the opening pattern is 15 or less ( (Less than half of the conventional) can be obtained.
  • the conversion difference between the resist and Cr varies greatly depending on the size of the opening pattern (hole) (the smaller the size of the opening pattern, the smaller the finish).
  • the problem that the cross-sectional shape of Cr depends on the size of the opening pattern (hole) and the size of the open pattern becomes smaller, and that the cross-sectional shape becomes tapered can be remarkably improved.
  • the ICP power be higher than 200 W. Also, from the viewpoint of better linearity,
  • the ICP power is lower than 300 W. From these facts, the ICP power is in the low ICP power range of 220 W to 280 W, and even 240 W to 260 W. It is preferable that it is enclosed.
  • the content of He in dry etching is preferably 1 to 20% by volume or less, more preferably 5 to 15% by volume.
  • the dry etching is performed while at least a part of the chemical species generated by the plasma excitation of the dry etching is incident on the thin film in a vertical direction.
  • etching is performed while depositing an organic substance on the side walls of the resist pattern which is etched by the isotropic etching component.
  • a method of applying a high-frequency power (RF bias) to the object to be processed may be mentioned.
  • the power supply for applying the RF bias is usually performed using a power supply different from the power supply for supplying the power for plasma excitation.
  • the power for plasma excitation is usually about 10 times or more the RF bias.
  • the etching selectivity between the resist pattern and the thin film is as low as less than 1.5. It has been found that by making the light incident on the thin film in a perpendicular direction, an organic product sufficient to offset the etching can be generated.
  • the RF bias it can be realized by setting the RF bias higher.
  • the etching selectivity is set so that a resist pattern that is at least a necessary minimum remains during the etching of the thin film.
  • Examples of a method for allowing an organic substance other than the resist pattern to exist include a method of adding an organic gas to a dry etching gas and a method of disposing an organic polymer material in a dry etching apparatus.
  • a halogen-containing gas such as C1 is used as a dry etching gas.
  • the organic substance does not contain a halogen element other than the halogen used for dry etching.
  • chlorine as the dry etching gas, do not include F, Br, I, and At as components (or in the molecule).
  • an organic gas is used.
  • a dry etching gas made of a mixed gas containing chlorine and oxygen is used for plasma excitation. Power is applied to excite the plasma, and etching is performed using the generated chemical species.
  • the thin film is etched by an isotropic etching component. Etching is performed while depositing an organic product on the side wall of the resist layer.
  • dry etching is performed in the presence of an organic substance other than the resist 1 and the pattern while at least a part of the chemical species is incident on the thin film in a vertical direction.
  • the organic product generated from the organic material and at least a part of the chemical species are incident on the thin film in a direction perpendicular to the thin film.
  • Organic products generated by vaporizing the resist scraped by the etching component are deposited on the side walls of the resist pattern etched by the isotropic etching component. In this way, etching and deposition can be offset and dimensional variations can be reduced.
  • the organic products are considered to be deposited isotropically, but the organic products deposited on the surface to be etched of the thin film are considered to be removed by sputtering due to the anisotropic sputter etching component.
  • various dry etching conditions are optimized in consideration of the coverage of the resist layer with respect to the thin film. This makes it possible to balance etching and deposition.
  • Examples of a method for causing an organic substance other than the resist pattern to be present include a method of adding an organic gas to a dry etching gas and a method of disposing an organic polymer material in a dry etching apparatus.
  • a halogen-containing gas such as C1 is used as a dry etching gas.
  • it does not contain any element.
  • an organic gas that does not contain F, Br, I, and At as components (or in a molecule).
  • the method of adding an organic gas to the dry etching gas is preferable because an arbitrary conversion difference can be set for a mask having an arbitrary resist coverage by controlling the type and amount of the organic gas. Furthermore, the addition amount of the organic gas should be 30% by volume or less of the total volume of the mixed gas containing chlorine and oxygen, in view of the controllability of the etching rate of Cr and the unnecessary excess organic matter in the etching chamber. It is preferable from the viewpoint of avoiding deposition.
  • alcohol such as ethanol, methane, ethane, acetone, vinyl chloride, hydrocyanic acid gas and the like can be used.
  • ethanol is preferable for solving the above three problems at a practical level.
  • the problem of the influence of the deterioration of the size and shape of the minute opening pattern (hole) due to the microloading effect is solved, and It is preferable to eliminate the obstacles.
  • a power lower than a plasma excitation power at which a plasma density jump occurs is used as the plasma excitation power.
  • the isotropic etching is suppressed by reducing the plasma density and suppressing the temperature rise of the object to be processed.
  • a light-shielding made of a chromium-containing material in a photomask blank is used in recent years in order to manufacture a photomask for which high-precision pattern formation is required. It can be suitably applied to dry etching of a film.
  • a chromium-based thin film or a thin film made of a material containing chromium includes those containing other elements in chromium, for example, Cr alone, CrO (containing chromium and oxygen And does not specify their content. The same shall apply hereinafter.) CrN, CrC, CrC ⁇ , CrCN, CrON, CrCON, etc., a single-layer film with a uniform composition, and multiple layers with different compositions in the thickness direction And those having any layer structure such as a compositionally graded layer whose composition changes in the film thickness direction.
  • the formation of a pattern including an optical proximity correction (OPC) pattern is particularly effective in reducing the linearity of the pattern.
  • OPC optical proximity correction
  • the change in the conversion difference between the resist and Cr with respect to the change in the size of the opening pattern can be made extremely small, and the CD linearity can be remarkably improved as compared with the conventional case.
  • a photomask is obtained in which the change amount (pattern linearity) of the conversion difference between the resist and Cr with respect to the change in the size of the opening pattern is 15 nm or less with respect to the opening pattern of 0.4 xm or more.
  • This photomask is the first to successfully solve the problem of the influence of the deterioration of the size and shape of the fine opening pattern (hole) due to the microloading effect, and to eliminate practical obstacles for the first time.
  • Such an embodiment is defined.
  • the amount of change in the conversion difference between the resist and Cr (pattern linearity) with respect to a change in the size of the opening pattern is-.
  • it is less than 5 nm.
  • RIE reactive ion etching
  • the halogen-containing gas to be used as a dry etching gas although C 1 2 is the most common, and S i C 1 4, HC 1 , CC 1 4, CHC 1 3 etc. .
  • a gas containing bromine and iodine can be used.
  • the gas containing oxygen ⁇ 2 but is most common, have good even C_ ⁇ 2, CO, and the like.
  • FIG. 1 shows the mask substrate 101.
  • the mask substrate 101 includes a resist pattern 102 having a thickness of 400 nm, a Cr-based film having a thickness of 100 nm (refers to a film made of a material containing Cr, the same applies hereinafter) 103, a glass substrate (such as a synthetic quartz substrate) 104 It has a laminated structure.
  • a photoresist or an EB resist is used as the resist layer 102 depending on an exposure machine to be used. In either case, the side wall of the resist pattern has a cross-sectional shape close to vertical. Further, 80% or more of the area of the Cr-based film 103 is covered with the resist pattern 102.
  • FIG. 2 shows the configuration of the etching apparatus (inductively coupled plasma (ICP) method) used in this embodiment.
  • ICP inductively coupled plasma
  • This device consists of a plasma generation coil 201, coil RF power supply 202,
  • chamber 1 (Hereinafter referred to as chamber 1) 203, shading electrode 204, RF power supply for RF electrode 205, gas introduction system 206, exhaust system 207, RF electrode cover 208 (cover member that covers other than the part where substrate 101 is installed) ing.
  • the mechanism for controlling the mask substrate 101 being etched to a constant temperature does not have this.
  • the mask substrate 101 is previously set on the RF electrode 204, and the chamber 203 is kept in a high vacuum state by the exhaust system 207.
  • High frequency power (ICP power) is supplied from the coil RF power supply 202 to the plasma generation coil 201 to generate plasma in the chamber 203.
  • the supplied high-frequency power (ICP power) is smaller than the high-frequency power (ICP power) causing an electron density jump, and a value near the discharge limit (200 W to 300 W, 210 W in this embodiment) is used.
  • high-frequency power is supplied to the RF electrode immediately from the RF power supply for RF electrode 205 (RF bias).
  • This high-frequency power is set high so that the etching selectivity between resist and Cr (etching rate of Cr / etching rate of resist) (hereinafter, simply referred to as selectivity) is less than 1.5.
  • RF power supply for coil 20 The high frequency power supplied from 2 is at least 10 times the high frequency power supplied from the RF power supply for RF electrode 205.
  • the value of the RF bias is preferably 10 W to 20 W, and was set to 20 W in this embodiment. He was added for the purpose of stabilizing the discharge of plasma and improving the micro-heading effect.
  • the etching can be performed in a state where the plasma density is low.
  • an effect of reducing the radical density contributing to isotropic etching of the resist and an effect of suppressing an increase in the substrate temperature can be obtained.
  • the lateral etching amount of the resist is suppressed.
  • Example 1 in addition to the above-described effect of suppressing the amount of etching of the resist in the lateral direction obtained by performing etching in a state where the plasma density is low, the resist pattern was not fully covered (less than 80%).
  • the substrate in (2) is etched with a low selectivity of less than 1.5 for the etching selectivity between resist and Cr (etching speed for Cr Z etching speed for resist).
  • etching speed for Cr Z etching speed for resist etching speed for resist
  • the conversion difference can be reduced, and the hole / dot shape difference can be reduced.
  • the cross-sectional shape of the hole does not depend on the size, and a photomask with good verticality can be obtained even if the size is small.
  • Example 1 the CD linearity error was 8 nm or less in the range of 0.4 m to 2.0 m, regardless of the pattern shape (holes, dots, lines & spaces, etc.) and size, and was extremely low. Good CD linearity was achieved.
  • the first embodiment corresponds to the second embodiment (organic gas system expansion) or the third embodiment No special modification of the device is required.
  • FIG. 1 shows a mask substrate 101 on which a resist pattern is formed, which is to be etched in this embodiment.
  • the mask substrate 101 has a laminated structure of a resist pattern 102 having a thickness of 400 nm, a Cr-based film 103 having a thickness of 100 nm, and a glass substrate (such as a synthetic quartz substrate) 104.
  • a resist pattern 102 a photoresist or an EB resist is used depending on an exposure machine to be used. In either case, the side wall of the resist pattern has a nearly vertical cross-sectional shape.
  • the ratio of the area of the Cr-based film 103 covered with the resist pattern 102 is 10%.
  • FIG. 2 shows the configuration of an etching apparatus used in this embodiment.
  • This device is used for plasma generation coil 201, coil RF power supply 202, chamber — 203, RF electrode 204, length electrode! ⁇ Power supply 205, gas introduction system 206, exhaust system 207, RF electrode cover 208 (substrate 101 is installed) Other than the part covered).
  • a mechanism for controlling the temperature of the mask substrate 101 during etching to a constant temperature is not provided.
  • Example 1 The difference between Example 1 and Example 3 is that the gas introduction system has a function of supplying an organic gas (ethanol is used in this example) in addition to-chlorine and oxygen.
  • an organic gas ethanol is used in this example
  • the mask substrate 101 is previously set on the RF electrode 204, and the chamber 203 is maintained in a high vacuum state by the exhaust system 207.
  • the exhaust pressure of the exhaust system 207 is adjusted to maintain a desired pressure.
  • High frequency power (ICP power) is supplied from the coil RF power supply 202 to the plasma generation coil 201 to generate plasma in the chamber 203.
  • the supplied high frequency power (ICP power) uses a smaller value than the high frequency power (ICP power) that causes an electron density jump. Specifically, the range (2 400 W to 300 W), and 250 W in this embodiment.
  • the etching characteristics (pattern shape, etc.) of the CrZ resist may be set under favorable conditions, and may be lower than those in the first embodiment. Conditions were set so that the Cr / resist selectivity was 1.7. After the completion of the etching of Cr, the etching is terminated after performing an appropriate over-etching.
  • etching can be performed in a state where the plasma density is low.
  • an effect of reducing the radical density contributing to isotropic etching of the resist and an effect of suppressing an increase in the substrate temperature can be obtained.
  • the amount of isotropic etching of the resist is suppressed.
  • Example 2 in addition to the above-described effect of suppressing the amount of etching of the resist in the lateral direction obtained by performing etching in a state where the plasma density is low, the isotropy of the organic gas is increased by the organic gas added independently. The effect of suppressing the lateral etching amount of the resist by the deposition is synergistically exhibited. As a result, the above three problems can be solved very effectively at a practical level.
  • the conversion difference can be reduced, and the difference in hole / dot shapes can be reduced.
  • the cross-sectional shape of the hole does not depend on the size, and a photomask with good verticality can be obtained even if the size is small.
  • Example 2 the CD linearity error was 8 nm or less from 0.4 m to 2.0 m, regardless of the pattern shape (holes, dots, lines & spaces, etc.) and size. CD linearity was achieved.
  • the second embodiment has the following advantages over the first embodiment.
  • the plasma density can be set higher than in the first embodiment. This has the advantage that a state with excellent plasma uniformity and stability can be selected.
  • the second embodiment has the following advantages over the third embodiment described below.
  • FIG. 1 shows a mask substrate 101 on which a resist pattern is formed, which is an object to be etched in this embodiment.
  • the mask substrate 101 has a laminated structure of a resist pattern 102 with a thickness of 400 nm, a Cr-based film 103 with a thickness of 100 nm, and a glass substrate (synthetic quartz substrate, etc.) 104.
  • Consists of As the resist pattern 102 a photoresist or an EB resist is used depending on an exposure machine to be used. In either case, the side wall of the resist pattern has a nearly vertical cross-sectional shape.
  • the ratio of the area of the Cr-based film 103 covered by the resist pattern 102 is 10%.
  • This device consists of a plasma generation coil 201, a coil RF power source 202, a chamber 203, an RF electrode 204, an RF electrode RF power source 205, a gas introduction system 206, and an exhaust system.
  • 207 RF electrode cover 208 (a force bar member that covers portions other than the portion where substrate 101 is installed). A mechanism for controlling the temperature of the mask substrate 101 during etching to a constant temperature is not provided.
  • the material of the RF electrode cover 208 is an organic polymer (polystyrene in this embodiment).
  • a mask substrate 101 is previously set on the RF electrode 204, and the chamber 203 is kept in a high vacuum state by the exhaust system 207.
  • the displacement of the exhaust system 207 is adjusted to maintain a desired pressure.
  • RF power is supplied from the coil RF power source 202 to the plasma generating coil 201 to generate plasma in the champer 203.
  • the supplied high frequency power is smaller than the high frequency power that causes the electron density jump. Specifically, a range (240 W to 300 W) in consideration of plasma uniformity and stability was used, and 250 W was set in this embodiment.
  • high frequency power is supplied to the RF electrode immediately from the RF power supply 205 for the RF electrode.
  • This high-frequency power does not need to be set low as in the case of the second embodiment, so that the Cr / resist etching characteristics (pattern shape, etc.) can be set under good conditions.
  • Example 1 can be lower. The conditions were set so that the CrZ resist selectivity was 1.7. After the etching of Cr is completed, an appropriate over-etching is performed, and then the etching is ended.
  • etching can be performed in a state where the plasma density is low.
  • an effect of reducing the radical density contributing to isotropic etching of the resist and an effect of suppressing an increase in the substrate temperature can be obtained.
  • the lateral etching amount of the resist is suppressed.
  • Example 3 in addition to the above-described effect of suppressing the amount of etching in the lateral direction of the resist obtained by performing etching in a state where the plasma density is low, the organic electrode generated from the RF electrode cover member made of organic high molecules was used.
  • the conversion difference can be reduced, and the hole / dot shape difference can be reduced.
  • the third embodiment has the following advantages over the first embodiment.
  • the plasma density can be set higher than in the first embodiment. This has the advantage that a state with excellent plasma uniformity and stability can be selected.
  • the third embodiment has an advantage over the second embodiment in that an additional organic gas system is not required.
  • Example 2 In Example 2 above, no organic gas (ethanol) was added, and high-frequency power (ICP power) was supplied from the coil RF power supply 202 to the plasma generation coil 201, and plasma was supplied into the chamber 203.
  • ICP power high-frequency power supplied from the coil RF power supply 202 to the plasma generation coil 201, and plasma was supplied into the chamber 203.
  • the high frequency power (ICP power) supplied was set to 500 W (the conditions under which a normal electron density jump occurs were used), the same as in Example 2 described above. Etching was performed to determine CD linearity.
  • Figure 5 shows the results.
  • the horizontal axis is the design dimension
  • the vertical axis is the shift from the design dimension (CD error).
  • the pattern was measured by CD-SEM for Cr patterns (iso holes and dense holes) of 0.4 nm to 2.0 m. From Fig. 5, under the conditions of the comparative example (no addition of ethanol, ICP power of 500 W), the CD error is large for the design dimensions of 1.0 um or less, and the CD linearity error is about 40 nm. It turns out that CD linearity is bad.
  • Example 2 under the conditions of Example 2 (with addition of ethanol and an ICP power of 250 W), the CD linearity error was 8 nm or less from 0.4 m to 2.0 m, as shown in FIG. It can be seen that the linearity is extremely good.
  • FIG. 7 is an SEM photograph of a cross-sectional shape of a Cr pattern of 0.5 m, 0.4 m, 0.3 ⁇ , and 0.2 m.
  • the upper part of Fig. 7 is a comparative example (without addition of ethanol,
  • the present invention is not limited to the embodiments described above.
  • Example 2 ethanol was used as the organic gas, but an organic gas containing carbon and hydrogen that does not contain octogen other than chlorine and that can be used in a mass flow controller (for example, methane, ethane, acetylene, Pinyl chloride, hydrocyanic acid gas, etc.) can also be used.
  • a mass flow controller for example, methane, ethane, acetylene, Pinyl chloride, hydrocyanic acid gas, etc.
  • Example 3 polystyrene was used as the electrode cover.
  • organic polymers containing carbon and hydrogen eg, polyester, polyvinyl chloride, melamine, polyethylene, etc.
  • halogen other than chlorine e.g., benzyl chloride, benzyl chloride, melamine, polyethylene, etc.
  • the above-mentioned material can be applied to the electrode cover 208, the inner wall portion of the chamber and the like (including a mode in which the inner wall is partially provided).
  • An embodiment (not shown) in which the above-described material (organic polymer) is applied only to the inner wall portion of the chamber and the like (including an embodiment provided partially on the inner wall) is also included in the present invention.
  • the ICP power can be appropriately adjusted and changed within the scope of the present invention, depending on the device used. Industrial applicability
  • the pattern shape ((opening pattern (hole), Regardless of optical patterns (dots), lines & spaces, etc., dimensional differences or differences in density (especially irrespective of holes / dots), conversion differences can be reduced, and differences in hole'dot shapes can be reduced.
  • CD linearity is good (conversion difference is constant) even if the size of the hole is small.
  • the cross-sectional shape of the hole does not depend on the size, and the verticality is good even if the size is small.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

クロムを含む材料からなるクロム系薄膜を有する被処理体における前記薄膜を、レジストパターンをマスクとしてエッチングし、かつ、ハロゲン含有ガスと酸素含有ガスを含むドライエッチングガスに、プラズマ励起用パワーを投入してプラズマ励起させ、生成した化学種を用いて前記薄膜をエッチングする。前記薄膜のエッチングを、前記プラズマ励起用パワーとして、プラズマの密度ジャンプが起こるプラズマ励起用パワーよりも低いパワーを用いてエッチングを行う。

Description

クロム系薄膜のエッチング方法及びフォトマスクの製造方法 技術分野
本発明は、 クロム系薄膜をドライエッチングする方法に関し、 特に、 半導体装置 製造等に用いられるフォトマスクの製造方法において実施されるクロム系薄膜か らなる遮光膜をドライエッチングす明る方法に関する。 さらに、 本発明は、 クロム系 薄膜からなる遮光膜をドライエッチングす田る工程を有するフォトマスクの製造方 法に関する。 背景技術
例えば、半導体集積回路等の高集積化等により、その製造工程中の微細加工プロ セスにおいて使用されるフォトマスクに対しては、高いパターン精度が要求されて きている。
現在用いられているフォトマスクは、高精度なパターンの加工性の点から、遮光 膜として、 一般にクロム系材料が使用されている。
しかしながら、半導体集積回路の高集積化等によるフォトマスクのパターンの高 精細化の要求に対して、現行のレジストパターンをエッチングマスクとして用いる クロム系遮光膜のパターン作成方法では、微小開口パターン (ホール) の高精細化 に伴い、 マイクロローデイング効果による微小開口パターン(ホール) の寸法や形 状の悪化の影響が無視できなくなり、 実用上の障害となることが明らかとなった。 現行のレジストパターンをエッチングマスクとして用いるクロム系遮光膜のパ ターン作成方法は、 具体的には、 C 1 2 + 02の混合ガスを主体にするガス系を用 い、 C r膜上のレジストパターンをマスクとして、 ドライエッチングにより C rパ ターンを形成する方法が主に用いられている(特開 2 0 0 1 - 1 8 3 8 0 9号公報 参照)。
ドライエッチングには、 通常 R I E (反応性イオンエッチング)装置が使用され るが、近年のパターンの微細化及びパターン精度に対する要求に対応すべく、 I C P (誘導結合型プラズマ) 方式が検討されている (「S P I E」、 Vol. 3236, C. Con s tant ine et al、 1 9 9 7年、 p 9 4〜: L 0 3参照、以下第 1の従来技術という)。 この場合、通常、電子密度ジャンプが起こる I C Pパワーよりも高い I C Pパヮ 一(安定したプラズマ放電が得られる条件) を使用して高いプラズマ密度でエッチ ングを行っている (論文誌 rsPUTTERING & PLASMA PROCESSESJ , Vol . 13 No. 4参照 (論文名:「高密度プラズマの生成と物理」、 著者:菅井秀郎、 第 7頁)、 1 9 9 8 年 1 0月 9日発行、社団法人日本工業技術振興協会スパッタリング及びプラズマ技 術部会発行、 以下、 第 2の従来技術という)。
しかしながら、上記第 1及び第 2の従来技術には、以下に示す 3つの問題点があ た。
第 1に、現像後のレジストパターン寸法とエツチング後の C rパターン寸法との 寸法差 (以下、 レジストと C rの変換差、 又は単に変換差という) が大きいという 問題点がある。従来においては、変換差を見越した現像エツチング条件を採用する ことによって..設計パターンデータに対する精度を向上させる方法が採られてきた しかしながら、 近年においては、 例えば、 近接効果補正 (O P C) パターンのよ うな微細でかつ複雑な形状のパターンが使用されている。 さらに、マスク面内にお いて寸法差及び疎密差のあるパターンを高精度に形成する要求がある。 このため、 従来のような方法では、 高精度のパターンを形成することが困難である。
詳しくは、レジストの等方性ェツチングによって C rが後退することに起因して、 同じサイズの正方形パターンで比較した場合、正方形開口パターン (抜き部) はサ ィズが拡大すると共に角部が丸くなるのに対し、 正方形遮光パターン (残し部 · C r部) はサイズが縮小すると共に角部はぼぼ直角を維持する。 この結果、 両者のサ ィズ、 角部の形状に形状差が生じる。
この問題がマスク製造工程および品質に与える影響は次のようになる。 まず、正 方形開口パターンの角部が丸くなることが、疑似欠陥の発生を誘起し、検査工程に 対し重大な障害になる。 また、パターン形状が設計パターンデータに対して忠実に 仕上がらないので、半導体製造工程におけるリソグラフィ一工程でのマージンの低 下や、 条件設定に多大な工数を必要とする原因となる。 さらに、 上記の変換差は、 マスク上での微細パターン形成の障害になる。なお、上記変換差をデータサイジン グで対処することも可能であるが、この場合サイジング量が大きくなり変換時間が 増大する。
第 2に、マイクロローデイング効果によって、 レジストと C rの変換差が開口パ ターン (ホール) の寸法によって大きく変動し、 開口パターンの寸法が小さい微小 開口パターンとなるほど小さく仕上がり、変換差の絶対値が大きくなるという問題 点がある。パターンの設計寸法の変化に対する、パターンの設計寸法からのシフト 量の関係を C Dリニアリティといい、パターンの設計寸法の変化に対する、パター ンの設計寸法からのシフ卜量の変化が大きい場合、 C Dリニアリティが悪いと表現 する。
パターンの設計寸法の変化に対するパターンの設計寸法からのシフト量の変化 が大きいということは、レジストと C rの変換差のばらつきが大きいことを意味す る。 この問題がマスク製造工程および品質に与える影響は次のようになる。
まず、 この問題により 開口パターンの寸法により変換差が変動するので C D精 度(特に C Dリニアリティ)が劣化する。 このことは半導体製造工程におけるリソ グラフィー工程でのマ一ジンの低下や、条件設定に多大な工数が必要となる原因と なる。 これは、 C D精度を露光等の別工程で補償することも可能であるが、 C Dリ ニァリティ以外の他の C D精度を考慮した場合の最適条件とはならないことがあ るためである。
第 3に、 C rの断面形状が、 開ロパタ一ン (ホール) の寸法に依存し、 開ロパタ —ンの寸法が小さくなり微小開口パターンになると、断面形状にテ一パーが発生す るという問題点がある。この問題がマスク製造工程および品質に与える影響は次の ようになる。
まず、 この問題により、 C rの断面形状が面内で変動すると、 電磁光学効果によ り、断面形状に起因する変動より数倍に達する大きな光学的寸法変動を引き起こす。 このことは半導体製造工程におけるリソグラフィ一工程でのマージンの低下や、条 件設定に多大な工数が必要となる原因となる。 また、マスクの測長を光学式測長機 で行う場合は測長精度が劣化する。
そこで、 本発明の第 1の目的は、 パターンの形状 (開口パターン (ホール)、 遮 光パターン (ドット)、 ライン &スペースなど) や寸法差や粗密差に関係なく (特 にホール · ドットに関係なく)、 変換差を低減でき、 しかもホール' ドットの形状 差を低減できるフォトマスクの製造方法の提供をすることにある。
また、 本発明の第 2の目的は、 ホールの寸法が小さくても、 C Dリニアリティが 良好 (変換差が一定) であるフォトマスクの製造方法を提供することにある。 さらに、 本発明の第 3の目的は、 ホールの断面形状が、 寸法に依らず、 寸法が小 さくても断面形状が良好であるフォトマスクの製造方法を提供することにある。 発明の開示
本発明は、 以下の態様を有する。
(第 1の態様) クロムを含む材料からなるクロム系薄膜を有する被処理体におけ る前記薄膜を、 レジストパターンをマスクとしてエッチングし、 かつ、 ハロゲン含 有ガスと酸素含有ガスを含むドライエツチングガスに..プラズマ励起用パワーを投 入してプラズマ励起させ、生成した化学種を用いて前記薄膜をエッチングするクロ ム系薄膜のエッチング方法おいて、
前記薄膜のエッチングを、前記プラズマ励起用パワーとして、 プラズマの密度ジ ャンプが起こるプラズマ励起用パワーよりも低いパワーを用いてェツチングを行 うクロム系薄膜のエッチング方法。
(第 2の態様) 前記ハロゲン含有ガスが、塩素含有ガスである第 1の態様のクロ ム系薄膜のェッチング方法。
(第 3の態様) 前記ドラエッチングガスに、 さらにヘリウムを含む第 1の態様の クロム系薄膜のエッチング方法。
(第 4の態様) 前記薄膜のエッチングを、前記化学種の少なくとも一部を前記薄 膜に対して垂直方向から入射させながら行うことによって、等方性ェッチング成分 によりエッチングされる前記レジストパターンの側壁に、有機物を堆積させながら
'を行う第 1〜第 3のいずれかの態様のクロム系薄膜のエッチング方法。 (第 5の態様) 前記被処理体に高周波電力を印加することによって、前記化学種 の少なくとも一部を前記薄膜に対して垂直方向から入射させる第 4の態様のクロ ム系薄膜のエッチング方法。
(第 6の態様) 前記レジストパターンと前記薄膜のエッチング選択比(薄膜のェ ツチング速度 Zレジストパターンのエッチング速度) が 1 . 5未満となるように、 前記化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させる第 4又 は第 5の態様のクロム系薄膜のエツチング方法。
(第 7の態様) 前記レジストパターンが、前記薄膜に対するレジスト層の被覆率 が 7 0 %以上である第 1〜第 6の態様のいずれかのクロム系薄膜のエッチング方 法。
(第 8の態様) 前記レジストパターンの、前記薄膜に対するレジスト層の被覆率 が 7 0 %より小さい場合に、前記薄膜のエッチングを、 レジストパターン以外の有 機物の存在下で行う第 1〜第 6の態様のいずれかのクロム系薄膜のエッチング方 法。
(第 9の態様) クロムを含む材料からなるクロム系薄膜を有する被処理体におけ る前記薄膜を、 レジス卜パターンをマスクとしてエッチングし、 かつ、 ハロゲン含 有ガスと酸素含有を含むドライエツチングガスに-.プラズマ励起用パワーを投入し てプラズマ励起させ、生成した化学種を用いて前記薄膜をエッチングするクロム系 薄膜のェッチング方法おいて、
前記薄膜のエッチングを、 レジストパターン以外の有機物の存在下で、前記化 学種の少なくとも一部を前記薄膜に対して垂直方向から入射させながら行うこと によって、等方性エッチング成分によりエッチングされる前記レジスト層の側壁に、 有機生成物を堆積させながらエッチングを行うクロム系薄膜のエツチング方法。
(第 1 0の態様) 前記ハロゲン含有ガスが、塩素含有ガスである第 9の態様のク ロム系薄膜のエッチング方法。
(第 1 1の態様) 前記レジストパターン以外の有機物として、 ドライエッチング ガスに有機ガスを添加することによって、前記レジストパターン以外の有機物を存 在させる第 9又は第 1 0の態様のクロム系薄膜のエッチング方法。 (第 1 2の態様) 前記有機ガスを、前記ドライエッチングガスの 3 0体積%以下 とする第 1 1の態様のクロム系薄膜のエッチング方法。
(第 1 3の態様) 前記有機ガスがエタノールである第 1 1又は第 1 2の態様のク 口ム系薄膜のエツチング方法。
(第 1 4の態様) 前記前記レジストパターン以外の有機物として、有機高分子材 をエッチング室内に配置した第 9又は第 1 0の態様のクロム系薄膜のエッチング 方法。
(第 1 5の態様) 前記薄膜のエッチングにおいて、前記プラズマ励起用パワーと して、プラズマの密度ジャンプが起こるプラズマ励起用パワーよりも低いパワーを 用いる第 9〜第 1 4の態様のいずれかのクロム系薄膜のエッチング方法。
(第 1 6の態様) 前記ドラエッチングガスに、 さらにヘリウムを含む第 1 5の態 様のクロム系薄膜のエッチング方法。
(第 1 7の態様) 被処理体が、透明基板上にクロムを含む材料からなる遮光膜が 形成されたフォトマスクブランクである第 1〜第 1 6の態様のいずれかのクロム 系薄膜のエッチング方法。
(第 1 8の態様) 透明基板上にクロムを含む材料からなる遮光膜が形成されたフ ォ 1、マスクブランクにおける前記遮光膜を..レジストパターンにおけるレジスト層 をマスクとしてエッチングする工程を有するフォトマスクの製造方法において、 前記遮光膜をエッチングする工程に、第 1 7の態様のクロム系薄膜のエッチング 方法を用いたフォトマスクの製造方法。
(第 1 9の態様) 前記遮光膜のェツチングにより、 光近接効果補正 (O P C ) パ ターンを含むパターンを形成する第 1 8の態様のフォトマスクの製造方法。
(第 2 0の態様) 前記遮光膜のエッチングにより、 0 . 4 m以上 2 . O m以 下の設計寸法のパターンに対し、 C Dリニアリティ誤差が 1 5 n m以下であるパ夕 ーンを含むパターンを形成する第 1 8又は第 1 9の態様のフォトマスクの製造方 法。 図面の簡単な説明
図 1は、本実施例においてエッチングの対象となる、 レジストパターンを形成し たマスク基板を説明するための断面模式図である。
図 2は、実施例で使用したエッチング装置の概略構成を説明するための模式図で ある。
図 3は、実施例で使用したエッチング装置の変形例を説明するための模式図であ る。
図 4は、 エッチング装置の他の変形例を説明するための模式図である。
図 5は、比較例で得られたパターンの C Dリニアリティを説明するための図であ る。
図 6は、実施例 2で得られたパターンの C Dリニァリティを説明するための図で ある。
図 7は、実施例及び比較例で得られたパターンの断面形状を説明するための図で ある。 発明を実施するための最良の形態
(第 1の実施の形態)
本発明の第 1の実施の形態は、レジストパターンをマスクとしてクロムを含む材 料からなるクロム系薄膜をエツチングする際に、八口ゲン含有ガスと酸素含有ガス を含む混合ガスからなるドライエッチングガスに、プラズマ励起用パヮ一を投入し てプラズマ励起させ、生成した化学種を用いてエッチングを行う。そのときのブラ ズマ励起用パワーを、プラズマの密度ジャンプが起こるプラズマ励起用パワーより も低いパヮ一とする。
尚、プラズマ励起パワーとは、例えば I C P方式の場合は、 I C Pパワーである。 また、 プラズマの密度ジャンプについては、 上記第 2の従来技術に、 プラズマの電 子密度と I C Pパワーの関係について、 I C Pパワーを増加させるとプラズマの電 子密度が増加する傾向を示すが、 ある I C Pパヮ一において、 プラズマの電子密度 が急激に増加する密度ジャンプが存在することが記載されている。本発明における プラズマの密度ジャンプが起こるプラズマ励起用パワーとは、 このような、 プラズ マ密度が急激に増加するプラズマ励起用パワーを指す。
従来技術では、 I C Pパワーを低くすると、 プラズマ放電が不安定になる傾向を 示すことから、 採用されていなかった。 し力しながら、 本発明者は、 変換差を低減 させるには、パタ一ンの等方性ェツチングを促進させて側壁のェツチングを促進さ せるラジカルの量をコントロールすることが必要であり、そのためには、 プラズマ 励起パワーを低く設定してプラズマ密度を低減させる方法が有効であることを見 出した。さらに、ドライエッチングを行うと、通常被処理体の温度上昇が起こるが、 プラズマ励起パワーが大きい程被処理体の温度の上昇は大きくなる。
被処理体の温度の上昇は、等方性エッチングの促進する原因にもなつている。 こ のため、本発明のように、低いプラズマ励起パワーを用いることによって被処理体 の温度の上昇を抑えると、等方性エッチングの促進を抑制することができる。例え ば、フォトマスクブランクのガラス基板などの低熱伝導率の材質を有する被処理体 のように、被処理体の温度制御が困難な場合は、被処理体がエッチング中に高温と ならないような温度制御をあえて行わずに、本発明の方法により被処理体の温度上 昇を抑えることができる。
具体的には、 例えば、. I C P方式の場合、 I C Pパヮ一を 2 0 0 W〜 3 0 0 Wの 低 I C Pパワー範囲とする。 これにより、 0 . 4〜2 . 0 mの開口パターンに関 し、前記開口パターンの寸法の変化に対する、レジス卜と C rの変換差の変化量(パ ターンリニアリティ) が、 1 5 請以下 (従来の半分以下) であるフォトマスクが 得られる。 この結果、 レジストと C rの変換差が開口パターン (ホール) の寸法に よって大きく変動する (開口パターンの寸法が小さいほど小さく仕上がる)。
さらに、 C rの断面形状が、 開口パターン (ホール) の寸法に依存し、 開ロパタ ーンの寸法が小さくなると、断面形状にテーパー発生するという問題を著しく改善 できる。 なお、 より安定したプラズマ放電を得る観点から、 I C Pパワーを 2 0 0 Wより高くすることが好ましい。 また、 よりリニアリティを良好にする観点から、
I C Pパヮ一を 3 0 0 Wより低くすることが好ましい。 これらのことから、 I C P パワーは、 2 2 0 W〜2 8 0 W、 さらには 2 4 0 W〜2 6 0 Wの低 I C Pパヮ一範 囲とすることが好ましい。
尚、低いプラズマ励起パワーにてプラズマ放電を安定化させるには、 プラズマ装 置の改良を行うことが考えられる。 さらに、 他にガス圧、 ガス流量等のドライエツ チング条件を最適化する方法も考えられる。ドライエッチング条件の最適化につい ては、 他の特性 (選択比、 エッチング均一性等) とのバランスを考慮する必要があ る。
本発明においては、他の特性への悪影響が最も少ない方法として、 ドライエッチ ングガス中に、 プラズマの放電安定化に寄与するガスとして、 H eを添加する。 さ らに、 H eは、マイクロローデイング効果を低減させる効果があることも確認され た。 H eの添加量は、多いほどプラズマ放電は安定化するが、 多すぎるとパターン のリニアリティ一が悪化することも確認された。 このような観点から、 H eのド ライエッチング中の含有量は、 1〜2 0体積%以下、 さらに好ましくは 5〜1 5体 積%とすることが好ましい。
さらに、本発明においては、 ドライエッチングのプラズマ励起によって発生する 化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させながら、ドライ エッチングを行う。 このようにして、等方性エッチング成分によりエッチングされ る前記レジストパ夕―ンの側壁に、有機物を堆積させながらエッチングを行う。 こ の結果、 ドライエッチング中のレジス卜パターンの寸法変動を効果的に抑制するこ とができる。
即ち、化学種の少なくとも一部を前記薄膜に対して垂直方向から入射することに よって、被エッチング面に対して垂直方向からの化学種によるスパッ夕エッチング 成分が増加する。その結果、 レジス卜パターンが上方から削られる。その削られた レジス 1、が気化して生成する有機生成物が、等方性ェッチング成分によりエツチン グされるレジストパターンの側壁に堆積する。 このようにして、エッチングと堆積 が相殺されることにより、 寸法変動を低減することができる。 尚、 有機生成物の堆 積は等方的に起こることが考えられる。 しかし、異方性スパッタエッチング成分に より、薄膜の被エッチング面に堆積する有機生成物はスパッタリングにより除去さ れると考えられる。 上記化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させる手段 としては、 被処理体に高周波電力 (R Fバイアス) を印加する方法が挙げられる。 R Fバイアスを印加する電源は、通常プラズマ励起用パワーを投入する電源とは別 電源を用いて行われるものであり、通常プラズマ励起用パワーは、 R Fバイアスの 約 1 0倍以上である。
上記の方法において、エッチングと堆積を相殺するには、エッチングと堆積のバ ランスを考慮する必要がある。エッチングに対する堆積量を確保するためには、削 られたレジス卜が気化して生成する有機生成物のある程度の量が必要となる。その ため、 本発明においては、 レジストパターンと前記薄膜のエッチング選択比(薄膜 のエッチング速度 Zレジスト層のエッチング速度)が 1 . 5未満と低選択比なるよ うに、前記化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させるこ とによって、エッチングを相殺するに足りる有機生成物を生成できることを見出し た。 R Fバイアスを用いる場合は、 R Fバイアスを高めに設定することによって実 現可能である。 尚、 エッチング選択比は、 薄膜のエツチング中に必要最低限以上の レジストパターンが残存するように設定される。
尚、前記薄膜に対するレジスト層の被覆率が 7 0 %以上である場合は、充分な有 機生成物を生成できるが、 レジストの被覆率が小さい場合は、堆積させるための有 機物が不足することが考えられる。 このため、 前記薄膜のエッチングを、 レジス卜 パターン以外の有機物の存在下で行うことで、その有機物から生成する有機反応物 を堆積する、 このようにして、エッチングと堆積とが相殺するバランスを図ること ができる。
レジストパターン以外の有機物を存在させる方法として、例えば、 ドライエッチ ングガスに有機ガスを添加する方法や、有機高分子材をドライエッチング装置内に 配置する方法が挙げられる。 尚、 前記有機物としては、 ドライエッチングガスに C 1等のハロゲン含有ガスを用いる。 このため、他のハロゲンの混用による C rのェ ツチングへの悪影響を回避するために、前記有機物には、 ドライエッチングに用い るハロゲン以外のハロゲン元素を含まないことが好ましい。ドライエッチングガス に塩素を用いる場合は、 F、 B r、 I、 A tを成分として (又は分子中に) 含まな い有機ガスを用いることが好ましい。
(第 2の実施の形態)
本発明の第 2の実施の形態においては、レジストパターンをマスクとしてクロム を含む材料からなるクロム系薄膜をエッチングする際に、塩素と酸素を含む混合ガ スからなるドライエッチングガスに、プラズマ励起用パワーを投入してプラズマ励 起させ、 生成した化学種を用いてエッチングを行う。前記薄膜のエッチングを、 レ ジストパターン以外の有機物の存在下で、前記化学種の少なくとも一部を前記薄膜 に対して垂直方向から入射させながら行うことによって、等方性ェッチング成分に よりエッチングされる前記レジスト層の側壁に、有機生成物を堆積させながらエツ チングを行う。
本発明の第 2の実施の形態によれば、レジス 1、パターン以外の有機物の存在下で、 前記化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させながらド ライエッチングを行う。 これにより、有機物から生成する有機生成物、 及び化学種 の少なくとも一部を前記薄膜に対して垂直方向から入射することによって発生し た、被エツチング面に対して垂直方向からの化学種によるスパッ夕エツチング成分 により削られたレジストが気化して生成する有機生成物を、等方性ェッチング成分 によりエッチングされるレジストパターンの側壁に堆積する。 このようのして,ェ ツチングと堆積が相殺されて寸法変動を低減することができる。
尚、有機生成物の堆積は等方的に起こると考えられるが、異方性スパッタエツチ ング成分により、薄膜の被エッチング面に堆積する有機生成物はスパッタリングに より除去されると考えられる。上記の方法において、エッチングと堆積を相殺する ために、薄膜に対するレジスト層の被覆率等を考慮して、各種ドライエッチング条 件を最適化する。これによつて、エッチングと堆積のバランスを図ることができる。 レジストパ夕一ン以外の有機物を存在させる方法として、例えば、 ドライエッチ ングガスに有機ガスを添加する方法や、有機高分子材をドライエッチング装置内に 配置する方法が挙げられる。 尚、 前記有機物としては、 ドライエッチングガスに C 1等のハロゲン含有ガスを用いるため、他のハロゲンの混用による C rのエツチン グへの悪影響を回避するために、ドライエッチングに用いるハロゲン以外のハロゲ ン元素を含まないことが好ましい。 ドライエッチングガスに塩素を用いる場合は、
F、 B r、 I、 A tを成分として (又は分子中に)含まない有機ガスを用いること が好ましい。
ドライエッチングガスに有機ガスを添加する方法は、任意のレジスト被覆率のマ スクに対して、有機ガスの種類及び添加量を制御することによって、任意の変換差 を設定可能であることから好ましい。 さらに、 有機ガスの添加量は、塩素と酸素を 含む混合ガス全体積の 3 0体積%以下であることが、 C rのエッチング速度の制御 性の観点や、エッチング室内の不要な過剰の有機物のデポジションを回避する観点 から好ましい。
また、 この有機ガスとしては、 エタノール等のアルコール、 メタン、 ェタン、 ァ セトン、 塩化ビニル、 青酸ガス等を用いることができる。 特に、 エタノールを用い ると、上述した 3つの問題を実用レベルで解決する上で好ましく、 さらにマイクロ ローデイング効果による微小開口パターン (ホール)の寸法や形状の悪化の影響の 問題を解消し、 実用上の障害を除く上で好ましい。
また、有機高分子材をドライエッチング装置内に配置する方法としては、電極力 バー等に有機高分子材を用いる方法が挙げられる。
さらに、 上記薄膜のエッチングにおいて、 前記プラズマ励起用パワーとして、 プ ラズマの密度ジャンプが起こるブラズマ励起用パワーよりも低いパワーを用いる。 これにより、プラズマ密度を低減させること及び被処理体の温度上昇を抑えるによ つて、 等方性ェッチングが抑制される。 この結果、 レジストパターン側壁における エッチングと堆積のバランスを容易に図ることができる。 尚、 この場合、 プラズマ を安定化させるために、ドライエッチングガスに、 H eを添加することが好ましい。 尚、 上記第 1の実施の形態及び第 2の実施の形態は、 近年において、 高精度なパ ターン形成が要求されているフォトマスクを製造するため、フォトマスクブランク におけるクロムを含む材料からなる遮光膜のドライエッチングに好適に適用する ことができる。
ここで、 クロム系薄膜或いはクロムを含む材料からなる薄膜とは、 クロムに他の 元素が含まれるものも含み、 例えば、 C r単体、 C r O (クロム、 酸素を含むこと を意味し、それらの含有率を規定するものではない。以下、同様) C r N、 C r C、 C r C〇、 C r CN、 C r ON、 C r CONなどが挙げられ、均一な組成の単層膜、 膜厚方向で組成の異なる複数層、膜厚方向で組成が変化する組成傾斜した層等あら ゆる層構造のものを含むものである。
本発明においては、特に、微細でかつ複雑な形状のパターンである光近接効果補 正(OPC) パターンを含むパターンの形成に対し、 パターンのリニアリティー低 減に非常に効果的である。
本発明方法を適用すると、 開口パターンの寸法の変化に対する、 レジストと C r の変換差の変化を極めて小さくでき、従来に比べ C Dリニアリティを著しく改善で きる。 この結果、 0. 4 xm以上の開口パターンに関し、 前記開口パターンの寸法 の変化に対する、 レジストと C rの変換差の変化量 (パターンリニアリティ) が、 15 nm以下であるフォトマスクが得られる。 このフォトマスクは、マイクロロー ディング効果による微小開口パターン (ホール)の寸法や形状の悪化の影響の問題 を極めて効果的に解消し、実用上の障害を除くことに初めて成功したものであるこ とから かかる態様を規定したものである。 同様の観点から、 0. 4 m以上の開 口パターンに関し、前記開口パターンの寸法の変化に対する、 レジストと C rの変 換差の変化量 (パターンリニアリティ) は-. 好ましくは 10 nm以下、 さらに好ま しくは 5 nm以下である。
本発明において、 ドライエッチングの方式としては、 R I E、 MER I E、 I C P、 NLD、 又は各種方式を応用した方式を用いることができるが、 クロム系薄膜 の最適なエツチング方式である I CPを用いることが好ましい。
また、本発明において、 ドライエッチングガスとして用いられるハロゲン含有ガ スとしては、 C 12が最も一般的であるが、 S i C 14、 HC 1、 CC 14、 CHC 13等が挙げられる。 この他、 臭素、 ヨウ素を含むガスも用いることができる。 ま た、 酸素を含むガスとしては、 〇2が最も一般的であるが、 C〇2、 CO等でもよ い。
(実施例 1 )
まず、本実施例においてエッチングの対象となる、 レジストパターンを形成した マスク基板 101を図 1に示す。マスク基板 101は、厚さ 400 nmのレジスト パターン 102, 厚さ 100 nmの C r系膜 (C rを含む材料からなる膜をいう、 以下同様) 103, ガラス基板(合成石英基板等) 104の積層構造からなる。 レ ジストパ夕一ン 102としては、使用する露光機によりフォトレジストもしくは E Bレジストを使用する。どちらの場合においてもレジストパターンの側壁が垂直に 近い断面形状を有する。 また、 C r系膜 103の面積の 80%以上はレジストパタ ーン 102で被覆されている。
次に、 本実施例に用いるエッチング装置 (誘導結合型プラズマ (I CP) 方式) の構成を図 2に示す。
この装置は、 プラズマ発生用コイル 201, コイル用 RF電源 202, 減圧容器
(以下チャンバ一という) 203, 尺 電極204, RF電極用 RF電源 205, ガス導入系 206, 排気系 207、 RF電極カバー 208 (基板 101が設置され る部分以外を被覆するカバー部材) より構成されている。 なお、 エッチング中のマ スク基板 101を一定温度に制御する機構についてはこれを有していない。
上記装置においては、 あらかじめ、 RF電極 204上にはマスク基板 101が設 置されており、排気系 207によりチヤンバ一 203は高真空の状態に保たれてい る。チャンバ一 203に対し.,ガス供給系 206より塩素と酸素とへリウムの混合 ガス (C 12: 02: He = 160 : 40 : 20 [s c cm]) が供給される。 排気 系 207の排気量を調整して、 所望の圧力 (2 P a) に保つ。 プラズマ発生用コィ ル 201に対し、 コイル用 RF電源 202より高周波電力 (I CPパワー) を供給 し、 チャンバ一 203内にプラズマを発生させる。供給される高周波電力 ( I CP パワー) は、 電子密度ジャンプを起こす高周波電力 (I CPパワー) より小さい値 でかつ放電限界近傍の値(200W〜300W、 本実施例では 210W) を使用す る。
プラズマ安定後、速やかに RF電極に RF電極用 RF電源 205より高周波電力 を供給する (RFバイアス)。 この高周波電力は、 レジストと C rのエッチング選 択比 (C rのエッチング速度/レジストのエッチング速度) (以下、 単に選択比と いう) が 1. 5未満になるように高めに設定する。 ただし、 コイル用 RF電源 20 2より供給される高周波電力は、 R F電極用 R F電源 2 0 5より供給される高周波 電力の 1 0倍以上である。 R Fバイアスの値は好ましくは 1 0 W〜2 0 Wであり、 本実施例では 2 0 Wとした。 また、 H eは、 プラズマの放電安定化及びマイクロ口 —ディング効果の改善の目的で添加した。 C rのエッチングが終了後、適度のォー パーエッチングを行った後にエッチングを終了する。
本実施例 1においては、プラズマ密度が低い状態でエッチングを行うことがでる。 この結果、レジストの等方性エッチングに寄与するラジカル密度を低減させる効果 及び基板温度の上昇の抑止効果が得られる。 これにより、 レジストの横方向のエツ チング量が抑制される。
また、本実施例 1においては、 プラズマ密度が低い状態でエッチングを行うこと によって得られるレジストの横方向のエッチング量の上記抑制効果に加え、レジス トパ夕一ンが髙被覆状態(8 0 %以上) にある基板を、 R Fバイアスを高めに設定 することでレジストと C rのエッチング選択比(C rのエッチング速度 Zレジスト のエッチング速度) が 1 . 5未満の低選択比でエッチングする。 これによつて、 大 量の有機ガスがチャンバ一内に放出され、有機ガスの等方性デポジションによるレ ジストの等方性エッチングの抑制効果が相乗効果的に発揮される。 この結果、上述 した 3つの問題を実用レベルで極めて効果的に解決できる。
具体的には、
( 1 ) パターンの形状 (ホール、 ドット、 ライン &スペースなど) やサイズに関係 なく (特にホール · ドットに関係なく)、 変換差を低減でき、 しかもホール · ドッ トの形状差を低減でる。
ホールの寸法が小さくても、 リニアリティが良好 (変換差が一定) である。
ホールの断面形状が寸法に依らず、寸法が小さくても垂直性が良好であるフォトマ スクが得られる。
なお、 実施例 1においては、 パターンの形状 (ホール、 ドット、 ライン &スぺ一 スなど) やサイズに関係なく、 0 . 4 m〜2 . 0 mにおいて C Dリニアリティ 誤差が 8 n m以下となり、 極めて良好な C Dリニアリティを実現できた。
また、 実施例 1は、 後述する実施例 2 (有機ガス系増設) あるいは実施例 3 (チ ャンバ一改造) のような装置の特別な改造を必要としない。
(実施例 2)
まず、本実施例においてエッチングの対象となる、 レジストパターンを形成した マスク基板 101を図 1に示す。
マスク基板 101は、厚さ 400 nmのレジストパターン 102,厚さ 100 n mの C r系膜 103, ガラス基板 (合成石英基板等) 104の積層構造からなる。 レジストパターン 102としては、使用する露光機によりフォトレジストもしくは EBレジストを使用する。どちらの場合においてもレジストパターンの側壁が垂直 に近い断面形状を有する。 また、 レジストパターン 102で C r系膜 103の面積 が被覆されている割合は 10%である。
次に、 本実施例に用いるエッチング装置の構成を図 2に示す。
この装置は、 プラズマ発生用コイル 201, コイル用 RF電源 202, チャンバ — 203, RF電極 204, 尺 電極用!^ 電源205, ガス導入系 206, 排気 系 207, RF電極カバー 208 (基板 101が設置される部分以外を被覆) より 構成されている。なお エッチング中のマスク基板 101を一定温度に制御する機 構についてはこれを有していない。
実施例 1と実施例 3との相違は、 ガス導入系が-.塩素と酸素以外に有機ガス (本 実施例ではエタノールを使用) の供給機能を有する点である。
上記装置においては、 あらかじめ、 RF電極 204上にはマスク基板 101が設 置されており、排気系 207によりチヤンバー 203は高真空の状態に保たれてい る。チャンバ一 203に対し、ガス供給系 206より塩素と酸素とヘリウムと有機 ガス (本実施例ではエタノール) の混合ガス (C 12: 02: He :エタノール = 1 60 : 40 : 20 : 20 [s c cm] (よって、 エタノールは約 8体積%)) の混合 ガスが供給される。排気系 207の排気量を調整して、 所望の圧力に保つ。 プラズ マ発生用コイル 201に対し、 コイル用 RF電源 202より高周波電力(I CPパ ヮー) を供給し、 チャンバ一 203内にプラズマを発生させる。供給される高周波 電力 (I CPパワー) は、 電子密度ジャンプを起こす高周波電力 (I CPパワー) より小さい値を使用する。具体的には、プラズマ均一性や安定性を考慮した範囲(2 4 0 W〜3 0 0 W) を使用し、 本実施例では 2 5 0 Wとした。
プラズマ安定後、速やかに R F電極に R F電極用 R F電源 2 0 5より高周波電力 を供給する。 この高周波電力は、 実施例 1と異なり、 C r /レジスト選択比を低く 設定する必要がない。 このため、 C r Zレジストのエッチング特性(パターン形状 等) が良好な条件で設定すれば良く、 実施例 1より低い値で良い。 C r /レジスト 選択比が 1 . 7となる条件に設定した。 C rのエッチングが終了後、適度のオーバ —エッチングを行った後にエッチングを終了する。
本実施例 2においては、プラズマ密度が低い状態でエッチングを行うことがでる。 この結果、レジストの等方性エッチングに寄与するラジカル密度を低減させる効果 及び基板温度の上昇の抑止効果が得らる。 これにより、 レジストの等方性エツチン グ量が抑制される。
また、本実施例 2においては、 プラズマ密度が低い状態でエッチングを行うこと によって得られるレジストの横方向のエッチング量の上記抑制効果に加え、独自に 添加する有機ガスによって、有機ガスの等方性デポジシヨンによるレジストの横方 向のエッチング量の抑制効果が相乗効果的に発揮される。 この結果、上述した 3つ の問題を実用レベルで極めて効果的に解決できる。
具体的には、
パターンの形状(ホール、 ドット、ライン &スペースなど)やサイズに関係なく (特 にホール · ドットに関係なく)、 変換差を低減でき、 しかもホール · ドットの形状 差を低減できる。
ホールの寸法が小さくても、 リニアリティが良好 (変換差が一定) である。
ホールの断面形状が寸法に依らず、寸法が小さくても垂直性が良好であるフォトマ スクが得られる。
なお、 実施例 2においては、 パターンの形状 (ホール、 ドット、 ライン &スペース など) やサイズに関係なく、 0 . 4 m〜2 . 0 mにおいて C Dリニアリティ誤 差が 8 n m以下となり、 極めて良好な C Dリニアリティを実現できた。
なお、 実施例 2は上述した実施例 1に対し以下の優位点を有する。
( 1 )有機ガスを独自に添加するので、より大量に有機物を発生できる。この結果、 実施例 1よりプラズマ密度を高めに設定可能である。 これにより、 プラズマの均一 性と安定性が優れた状態が選べる利点がある。
( 2 ) レジストより発生する有機ガスに依存しないので、 レジストパターンの被覆 率と選択比に制限を持たない。 よって、 あらゆる (全ての) パターンのマスクに適 用できる。
( 3 ) チャンバ一内の有機ガス密度にマスクパターン起因の分布が生じにくい。 また、 実施例 2は後述する実施例 3に対し以下の優位点を有する。
( 1 ) 電極材の時間変化による特性変動が生じない。
( 2 ) チャンパ一の改造が必要でない。
(実施例 3 )
まず、本実施例においてエツチングの対象となる、 レジストパターンを形成した マスク基板 1 0 1を図 1に示す。
マスク基板 1 0 1は、厚さ 4 0 0 n mのレジス卜パターン 1 0 2, 厚さ 1 0 0 n mの C r系膜 1 0 3 , ガラス基板 (合成石英基板等) 1 0 4の積層構造からなる。 レジス卜パターン 1 0 2としては 使用する露光機によりフォトレジストもしくは E Bレジストを使用する。どちらの場合においてもレジストパターンの側壁が垂直 に近い断面形状を有する。 また レジス卜パターン 1 0 2で C r系膜 1 0 3の面積 が被覆されている割合は 1 0 %である。
次に、 本実施例に用いるエッチング装置の構成を図 3に示す。
この装置は、 プラズマ発生用コイル 2 0 1 , コイル用 R F電源 2 0 2 , チャンバ 一 2 0 3 , R F電極 2 0 4 , R F電極用 R F電源 2 0 5, ガス導入系 2 0 6 , 排気 系 2 0 7 R F電極カバ一 2 0 8 (基板 1 0 1が設置される部分以外を被覆する力 バー部材) より構成されている。 なお、 エツチング中のマスク基板 1 0 1を一定温 度に制御する機構についてはこれを有していない。
実施例 1と実施例 2との相違は、 R F電極カバー 2 0 8の材質が有機高分子(本 実施例ではポリスチレン) である点である。
上記装置においては、 あらかじめ、 R F電極 2 0 4上にはマスク基板 1 0 1が設 置されており、排気系 2 0 7によりチヤンバ一 2 0 3は高真空の状態に保たれてい る。チャンバ一 2 0 3に対し、 ガス供給系 2 0 6より塩素と酸素とヘリウムの混合 ガス (C 1 2: 02: H e = 1 6 0 : 4 0 : 2 0 [ s c c m]) が供給される。 排気 系 2 0 7の排気量を調整して、所望の圧力に保つ。プラズマ発生用コイル 2 0 1に 対し、 コイル用 R F電源 2 0 2より高周波電力を供給し、チャンパ一 2 0 3内にプ ラズマを発生させる。供給される高周波電力は、電子密度ジャンプを起こす高周波 電力より小さい値を使用する。具体的には、 プラズマ均一性や安定性を考慮した範 囲 (2 4 0 W〜3 0 0 W) を使用し、 本実施例では 2 5 0 Wとした。
プラズマ安定後、速やかに R F電極に R F電極用 R F電源 2 0 5より高周波電力 を供給する。 この高周波電力は、 実施例 2と同様に、 C r Zレジスト選択比を低く 設定する必要がないため、 C r /レジストのエッチング特性(パターン形状等) が 良好な条件で設定すれば良く、実施例 1より低い値で良い。 C r Zレジスト選択比 が 1 . 7となる条件に設定した。 C rのエッチングが終了後、 適度のオーバーエツ チングを行った後にエツチングを終了する。
本実施例 3においては、プラズマ密度が低い状態でエッチングを行うことがでる。 この結果..レジストの等方性ェツチングに寄与するラジカル密度を低減させる効果 及び基板温度の上昇の抑止効果が得られる。 これにより、 レジストの横方向のエツ チング量が抑制される。
また、本実施例 3においては、 プラズマ密度が低い状態でエッチングを行うこと によって得られるレジストの横方向のエツチング量の上記抑制効果に加え、有機高 分子からなる R F電極カバ一部材から発生する有機ガスによって、有機ガスの等方 性デポジションによるレジストの横方向のエッチング量の抑制効果が相乗効果的 に発揮される。 この結果、上述した 3つの問題を実用レベルで極めて効果的に解決 できる。
具体的には、
パターンの形状(ホール、 ドット、ライン &スペースなど)やサイズに関係なく (特 にホール · ドットに関係なく)、 変換差を低減でき、 しかもホール · ドットの形状 差を低減でる。
ホールの寸法が小さくても、 リニアリティが良好 (変換差が一定) である。 ホールの断面形状が寸法に依らず、寸法が小さくても垂直性が良好であるフォトマ スクが得られる。
なお、 実施例 3は実施例 1に対し以下の優位点を有する。
(1)より大きな面積の電極カバーから発生する大量の有機ガスを利用できるので、 実施例 1よりプラズマ密度を高めに設定可能である。 これにより、 プラズマの均一 性と安定性が優れた状態が選べる利点がある。
(2) レジストより発生する有機ガスに依存しないので、 レジストパターンの被覆 率と選択比に制限を持たない。
(3) チャンパ一内の有機ガス密度にマスクパターン起因の分布が生じにくい。 また、実施例 3は実施例 2に対し、有機ガス系の増設が必要でないという優位点 を有する。
(比較例)
上記実施例 2において、有機ガス (エタノール) を添加しなかったこと及びブラ ズマ発生用コイル 201に対し、 コイル用 RF電源 202より高周波電力 ( I CP パワー) を供給し、 チャンバ一 203内にプラズマを発生させる際に、 供給される 高周波電力 (I CPパワー) を、 500Wとした (通常用いられているような電子 密度ジャンプを起こす条件とした) こと以外は、上記実施例 2と同様にしてエッチ ングを行い、 CDリニアリティについて調べた。 その結果を、 図 5に示す。
図 5において、 横軸は設計寸法、 縦軸は設計寸法からのシフト量 (CD誤差量) である。 パターンの測長は、 0. 4 n m〜 2. 0 mの C rパターン (孤立ホール (iso hole) と密集ホール (Dense hole)) について CD— S EMにて行った。 図 5から、比較例の条件(エタノールの添加なし、 I CPパヮ一 500W)では、 1. 0 u m以下の設計寸法に対して C D誤差が大きくなつており、 CDリニアリティ誤 差が約 40 nmとなっており、 CDリニアリティが悪いことが判る。
これに対し、 実施例 2の条件 (エタノールの添加あり、 I CPパワー 250W) では、 図 6に示すように、 0. 4 m〜2. 0 mにおいて CDリニアリティ誤差 が 8 nm以下となり、 パ夕一ンリニァリティが極めて良好であることが判る。
また、 C rパターンの断面形状と I CPパヮ一との関係について調べた結果を、 図 7 (SEM写真) に示す。
図 7は、 0. 5 m、 0. 4^m、 0. 3 πι, 0. 2 mの C rパターンの断 面形状の SEM写真である。 また、 図 7の上段が比較例 (エタノールの添加なし、
1 CPパワー 500W)で得られた C rパターンの断面形状を示し、下段が実施例
2 (エタノールの添加あり、 I CPパワー 250W)で得られた C rパターンの断 面形状を示す。
図 Ίの上段の比較例では、ホールの断面形状が寸法が小さくなるに従いテ一パが 発生して垂直性が悪化するのに対して、 図 7の上段の実施例 2では、ホールの断面 形状が寸法に依らず寸法が小さくても垂直性が良好 (形状のリニァリティが良好) であることが判る。
なお、 本発明は上述した実施例に限定されるものではない。
実施例 2において有機ガスとしてエタノ一ルを用いたが、マスフ口一コントロー ラーで使用可能な塩素以外の八ロゲンを含まない炭素と水素を含有する有機ガス (例として、 メタン, ェタン, アセチレン, 塩化ピニル, 青酸ガス等) も使用でき る。
実施例 3において電極カバ一としてポリスチレンを用いたが、塩素以外のハロゲ ンを含まない炭素と水素を含有する有機高分子 (例として.. ポリエステル, ポリ塩 化ビニル, メラミン, ポリエチレン等) および炭素も使用できる。
実施例 3においては、 図 4に示すように、電極カバー 208及びチャンバ一内壁 部等 (内壁に部分的に設ける態様を含む) にも上記材質 (有機高分子) を適用でき る。 チャンバ一内壁部等 (内壁に部分的に設ける態様を含む) にだけ上記材質 (有 機高分子) を適用した態様 (図示せず) も本願発明に含まれる。
本発明において I CPパワーは、使用する装置に応じて、本発明の要旨の範囲内 で、 適宜調整、 変更できる。 産業上の利用可能性
本発明によれば、 以下の効果を有する。
(1) 上述した第 1の問題に関し、 パターンの形状 ((開口パターン (ホール)、 遮 光パターン (ドット)、 ライン &スペースなど) や寸法差や粗密差に関係なく (特 にホール · ドットに関係なく)、 変換差を低減でき、 しかもホール' ドットの形状 差を低減できる。
( 2 ) 上述した第 2の問題に関し、 ホールの寸法が小さくても、 C Dリニアリティ が良好 (変換差が一定) である。
( 3 ) 上述した第 3の問題に関し、 ホールの断面形状が、 寸法に依らず、 寸法が小 さくても垂直性が良好である。
なお、上述した第 3の問題に関連し、マスクの測長を光学式測長機で行う場合に おいて、形状のリニアリティが改善されると、寸法が異なる図形も同一の測長条件 で測長が可能となる。

Claims

請 求 の 範 囲
1 . クロムを含む材料からなるクロム系薄膜を有する被処理体における前記薄 膜を、 レジストパターンをマスクとしてエッチングし、 かつ、 ハロゲン含有ガスと 酸素含有ガスを含むドライエッチングガスに、プラズマ励起用パワーを投入してプ ラズマ励起させ、生成した化学種を用いて前記薄膜をエッチングするクロム系薄膜 のエッチング方法おいて、
前記薄膜のエッチングを、前記プラズマ励起用パワーとして、 プラズマの密度ジ ヤンプが起こるプラズマ励起用パワーよりも低いパヮ一を用いてエッチングを行 うクロム系薄膜のエツチング方法。
2 . 前記ハロゲン含有ガスが、 塩素含有ガスである請求項 1に記載のクロム系 薄膜のエッチング方法。
3 . 前記ドラエツチングガスに、 さらにヘリウムを含む請求項 1に記載のクロ ム系薄膜のエツチング方法。
4. 前記薄膜のエッチングを、 前記化学種の少なくとも一部を前記薄膜に対し て垂直方向から入射させながら行うことによって、等方性ェッチング成分によりェ ツチングされる前記レジストパ夕一ンの側壁に、有機物を堆積させながらエツチン グを行う請求項 1〜3のいずれかに記載のクロム系薄膜のエツチング方法。
5 . 前記被処理体に高周波電力を印加することによって、 前記化学種の少なく とも一部を前記薄膜に対して垂直方向から入射させる請求項 4に記載のクロム系 薄膜のエッチング方法。
6 . 前記レジストパターンと前記薄膜のエッチング選択比が 1 . 5未満となる ように、前記化学種の少なくとも一部を前記薄膜に対して垂直方向から入射させる 請求項 4又は 5に記載のクロム系薄膜のエッチング方法。
7 . 前記レジストパターンが、前記薄膜に対するレジスト層の被覆率が 7 0 %以 上である請求項 1〜 6のいずれかに記載のクロム系薄膜のエッチング方法。
8 . 前記レジストパターンの、前記薄膜に対するレジスト層の被覆率が 7 0 %よ り小さい場合に、前記薄膜のエッチングを、 レジストパターン以外の有機物の存在 下で行う請求項 1〜 6のいずれかに記載のクロム系薄膜のエッチング方法。
9 . クロムを含む材料からなるクロム系薄膜を有する被処理体における前記薄 膜を、 レジストパターンをマスクとしてエッチングし、 かつ、 ハロゲン含有ガスと 酸素含有を含むドライエッチングガスに、プラズマ励起用パワーを投入してプラズ マ励起させ、生成した化学種を用いて前記薄膜をエッチングするクロム系薄膜のェ ツチング方法おいて、
前記薄膜のエッチングを、 レジストパターン以外の有機物の存在下で、前記化学 種の少なくとも一部を前記薄膜に対して垂直方向から入射させながら行うことに よって、 等方性エッチング成分によりエッチングされる前記レジスト層の側壁に、 有機生成物を堆積させながらエッチングを行うクロム系薄膜のエッチング方法。
1 0 . 前記八ロゲン含有ガスが、 塩素含有ガスである請求項 9に記載のクロム 系薄膜のエッチング方法。
1 1 . 前記レジストパ夕一ン以外の有機物として、 ドライエッチングガスに有 機ガスを添加することによって、前記レジス卜パターン以外の有機物を存在させる 請求項 9又は 1 0に記載のクロム系薄膜のエッチング方法。
1 2 . 前記有機ガスを、 前記ドライエッチングガスの 3 0体積%以下とする請 求項 1 1に記載のクロム系薄膜のエッチング方法。
1 3 . 前記有機ガスがエタノールである請求項 1 1又は 1 2に記載のクロム系 薄膜のエッチング方法。
1 4 . 前記前記レジストパターン以外の有機物として、 有機高分子材をエッチ ング室内に配置した請求項 9又は 1 0に記載のクロム系薄膜のエッチング方法。
1 5 . 前記薄膜のエッチングにおいて、 前記プラズマ励起用パワーとして、 プ ラズマの密度ジャンプが起こるプラズマ励起用パワーよりも低いパワーを用いる 請求項 9〜 1 4のいずれかに記載のクロム系薄膜のエッチング方法。
1 6 . 前記ドラエッチングガスに、 さらにヘリウムを含む請求項 1 5に記載の クロム系薄膜のエッチング方法。
1 7 . 被処理体が、 透明基板上にクロムを含む材料からなる遮光膜が形成され たフォトマスクブランクである請求項 1〜1 6のいずれかに記載のクロム系薄膜 のエッチング方法。
18. 透明基板上にクロムを含む材料からなる遮光膜が形成されたフォトマス クブランクにおける前記遮光膜を、レジストパターンにおけるレジスト層をマスク としてエッチングする工程を有するフォトマスクの製造方法において、
前記遮光膜をエッチングする工程に、請求項 17に記載されたクロム系薄膜のェ ツチング方法を用いたフォトマスクの製造方法。
19. 前記遮光膜のエッチングにより、 光近接効果補正パターンを含むパタ一 ンを形成する請求項 18に記載のフォトマスクの製造方法。
20. 前記遮光膜のエッチングにより、 0. 4^m以上 2. O ^m以下の設計 寸法のパターンに対し、 CDリニアリティ誤差が 15 nm以下であるパターンを含 むパターンを形成する請求項 18又は 19に記載のフォトマスクの製造方法。
PCT/JP2004/004938 2003-04-11 2004-04-06 クロム系薄膜のエッチング方法及びフォトマスクの製造方法 WO2004093178A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005505364A JP4272654B2 (ja) 2003-04-11 2004-04-06 クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US10/529,152 US7575692B2 (en) 2003-04-11 2004-04-06 Method for etching chromium thin film and method for producing photomask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-108208 2003-04-11
JP2003108208 2003-04-11

Publications (1)

Publication Number Publication Date
WO2004093178A1 true WO2004093178A1 (ja) 2004-10-28

Family

ID=33295881

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/004938 WO2004093178A1 (ja) 2003-04-11 2004-04-06 クロム系薄膜のエッチング方法及びフォトマスクの製造方法

Country Status (5)

Country Link
US (1) US7575692B2 (ja)
JP (2) JP4272654B2 (ja)
KR (1) KR100789063B1 (ja)
TW (1) TWI233640B (ja)
WO (1) WO2004093178A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015099183A (ja) * 2013-11-18 2015-05-28 Hoya株式会社 フォトマスクの製造方法およびパターン転写方法

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181922A1 (en) 2002-03-20 2003-09-25 Spiration, Inc. Removable anchored lung volume reduction devices and methods
US20030216769A1 (en) 2002-05-17 2003-11-20 Dillard David H. Removable anchored lung volume reduction devices and methods
US7533671B2 (en) 2003-08-08 2009-05-19 Spiration, Inc. Bronchoscopic repair of air leaks in a lung
US7691151B2 (en) 2006-03-31 2010-04-06 Spiration, Inc. Articulable Anchor
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012021959A (ja) 2010-07-16 2012-02-02 Toshiba Corp パターン検査装置、パターン検査方法、およびパターンを有する構造体
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
JP5795299B2 (ja) * 2012-11-26 2015-10-14 株式会社東芝 パターン検査装置、およびパターン検査方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) * 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7374826B2 (ja) * 2020-03-19 2023-11-07 キオクシア株式会社 テンプレートの製造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01149425A (ja) * 1987-12-07 1989-06-12 Japan Steel Works Ltd:The 基板表面のクロム膜などのエッチング方法
JPH0794468A (ja) * 1993-09-24 1995-04-07 Fujitsu Ltd 半導体装置の製造方法
JPH09279367A (ja) * 1996-04-17 1997-10-28 Mitsubishi Electric Corp Alテーパドライエッチング方法
JPH10107013A (ja) * 1996-08-06 1998-04-24 Internatl Business Mach Corp <Ibm> サブミクロン金属エッチング用の低圧力低電力塩素/塩化水素プロセス
JP2001135617A (ja) * 1999-11-04 2001-05-18 Sony Corp 半導体装置の製造方法
JP2001183809A (ja) * 1999-12-24 2001-07-06 Nec Corp フォトマスク及びフォトマスク製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
JPS613339A (ja) * 1984-06-18 1986-01-09 Hitachi Ltd 高密度情報記録円板複製用スタンパおよびその製造方法
JPH07105378B2 (ja) * 1984-08-24 1995-11-13 富士通株式会社 クロム系膜のドライエツチング方法
JPS61173251A (ja) * 1985-01-28 1986-08-04 Mitsubishi Electric Corp フオトマスクの製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
KR100490968B1 (ko) * 1996-10-30 2005-05-24 고교기쥬쯔잉초가다이효스루니혼고쿠 드라이 에칭 방법
US6790671B1 (en) * 1998-08-13 2004-09-14 Princeton University Optically characterizing polymers
JP2000214575A (ja) * 1999-01-26 2000-08-04 Sharp Corp クロムマスクの形成方法
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2004503829A (ja) 2000-06-15 2004-02-05 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層をエッチングする方法および装置
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
EP1514110A4 (en) * 2002-05-07 2009-05-13 California Inst Of Techn DEVICE AND METHOD FOR THE TWO-DIMENSIONAL ELECTRONGAS ACTUATION AND TRANSDUCTION FOR GAAS-NEMS
US6913706B2 (en) * 2002-12-28 2005-07-05 Intel Corporation Double-metal EUV mask absorber
US7315426B2 (en) * 2003-12-05 2008-01-01 University Of Pittsburgh Metallic nano-optic lenses and beam shaping devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01149425A (ja) * 1987-12-07 1989-06-12 Japan Steel Works Ltd:The 基板表面のクロム膜などのエッチング方法
JPH0794468A (ja) * 1993-09-24 1995-04-07 Fujitsu Ltd 半導体装置の製造方法
JPH09279367A (ja) * 1996-04-17 1997-10-28 Mitsubishi Electric Corp Alテーパドライエッチング方法
JPH10107013A (ja) * 1996-08-06 1998-04-24 Internatl Business Mach Corp <Ibm> サブミクロン金属エッチング用の低圧力低電力塩素/塩化水素プロセス
JP2001135617A (ja) * 1999-11-04 2001-05-18 Sony Corp 半導体装置の製造方法
JP2001183809A (ja) * 1999-12-24 2001-07-06 Nec Corp フォトマスク及びフォトマスク製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015099183A (ja) * 2013-11-18 2015-05-28 Hoya株式会社 フォトマスクの製造方法およびパターン転写方法

Also Published As

Publication number Publication date
TWI233640B (en) 2005-06-01
US7575692B2 (en) 2009-08-18
TW200425306A (en) 2004-11-16
US20060102587A1 (en) 2006-05-18
JP4272654B2 (ja) 2009-06-03
JP2009020534A (ja) 2009-01-29
KR100789063B1 (ko) 2007-12-26
JPWO2004093178A1 (ja) 2006-07-06
KR20050035882A (ko) 2005-04-19

Similar Documents

Publication Publication Date Title
WO2004093178A1 (ja) クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US7838180B2 (en) Mask blank, method of manufacturing an exposure mask, and method of manufacturing an imprint template
US7314690B2 (en) Photomask producing method and photomask blank
US7625677B2 (en) Half-tone stacked film, photomask-blank, photomask and fabrication method thereof
KR101575697B1 (ko) 위상 시프트마스크 블랭크 및 위상 시프트마스크의 제조방법
CN101174081A (zh) 掩模刻蚀工艺
US7018934B2 (en) Methods and apparatus for etching metal layers on substrates
US6391790B1 (en) Method and apparatus for etching photomasks
US6685848B1 (en) Method and apparatus for dry-etching half-tone phase-shift films half-tone phase-shift photomasks and method for the preparation thereof and semiconductor circuits and method for the fabrication thereof
KR20160117319A (ko) 하프톤 위상 시프트형 포토마스크 블랭크의 제조 방법
WO2002021210A1 (fr) Photomasque a decalage de phase pour similigravure et ebauche de photomasque a decalage de phase pour similigravure
JP6819546B2 (ja) フォトマスクブランク、及びフォトマスクの製造方法
JP7154626B2 (ja) マスクブランク、転写用マスク、及び半導体デバイスの製造方法
JP2001027799A (ja) 位相シフトマスクの製造方法
US7115523B2 (en) Method and apparatus for etching photomasks
JP2012521659A (ja) プラズマエッチング法
KR101155415B1 (ko) 하프톤형 위상반전 블랭크 마스크 및 그 제조방법
JP5355402B2 (ja) Cdエッチングバイアスを最小にする方法
JP2003338449A (ja) 電子線マスク用基板、電子線マスクブランクス、及び電子線マスク
JP2015099183A (ja) フォトマスクの製造方法およびパターン転写方法
JPH0915831A (ja) 露光用マスクの製造方法
JP2022072502A (ja) 位相シフトマスクブランク、位相シフトマスクの製造方法、及び位相シフトマスク
JP2007059806A (ja) ドライエッチング方法及びフォトマスクの製造方法
JP2005128218A (ja) エッチング方法、エッチング装置及び層形成方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2005505364

Country of ref document: JP

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057002425

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2006102587

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10529152

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020057002425

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 10529152

Country of ref document: US

122 Ep: pct application non-entry in european phase