CN1940717A - 通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法 - Google Patents

通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法 Download PDF

Info

Publication number
CN1940717A
CN1940717A CNA2006101278838A CN200610127883A CN1940717A CN 1940717 A CN1940717 A CN 1940717A CN A2006101278838 A CNA2006101278838 A CN A2006101278838A CN 200610127883 A CN200610127883 A CN 200610127883A CN 1940717 A CN1940717 A CN 1940717A
Authority
CN
China
Prior art keywords
process chamber
substrate
processing gas
layer
speed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101278838A
Other languages
English (en)
Inventor
阿杰伊·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1940717A publication Critical patent/CN1940717A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本发明提供了一种使用碳硬掩膜蚀刻铬并形成光掩膜的方法。在一个实施例中,蚀刻铬层的方法包括在处理室中设置衬底,所述衬底具有通过图案化的碳硬掩膜层暴露的铬层;提供含氯处理气体和一氧化碳至所述蚀刻室中;以及,保持所述处理气体的等离子体并通过所述碳硬掩膜层蚀刻所述铬层。通过图案化的碳硬掩膜层来蚀刻铬层的方法对于制造光掩膜来说是有用的。

Description

通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法
技术领域
本发明一般地涉及用于等离子体蚀刻铬的方法。更具体地,本发明提供了一种通过用于光掩膜制造的碳硬掩膜来蚀刻铬层的方法。
背景技术
在集成电路(IC)或芯片的制造中,代表芯片的不同层的图案是由芯片设计者来建立的。一系列的可再次使用的掩膜或光掩膜是由这些图案来建立的,以在制造过程中将每个芯片层的设计转移到半导体衬底上。掩膜图案产生系统使用精确的激光或电子束将芯片每个层的设计图像化到各个掩膜上。然后,掩膜更多地使用摄像底片将用于每层的电路图案转移到半导体衬底上。这些层是使用一系列处理来建立的,并转移到每个都包含完整的芯片的小型晶体管和电路中。由此,掩膜中的任何缺陷可能转移到芯片上,从而潜在地负面影响性能。足够严重的缺陷可以使掩膜完全没有用。通常,一组15-30个的掩膜被用于构建芯片,并可以重复使用。
掩膜通常是在其一侧上具有铬层的玻璃或石英衬底。掩膜还可以包含掺杂有钼(Mb)的氮化硅(SiN)层。铬层被抗反射性涂层和光致抗蚀剂覆盖。在图案化的过程中,通过使抗蚀剂的一部分暴露至紫外光、使得被暴露的部分溶解在显影液中,电路设计被写到掩膜上。然后去除抗蚀剂的可溶解部分,以允许蚀刻被暴露的下面铬。蚀刻处理从掩膜去除抗蚀剂被去除位置处的铬和抗反射层,即被暴露的铬被去除。
用于图案化的另一种掩膜是公知的石英相移掩膜。石英相移掩膜类似于上述的掩膜,除了石英区域的通过被图案化的铬层暴露的交替邻近区域被蚀刻至约等于光的波长的一半的深度,其中该光被用于在制造过程中将电路图案转移到衬底上。由此,在光被引导穿过石英相移掩膜以暴露布置在衬底上的抗蚀剂,通过掩膜中的一个开口入射在抗蚀剂上的光相对于穿过紧邻的开口的光相位相差180度。因此,可以在掩膜开口的边缘处散射的光被相邻开口的边缘处散射的180度光抵消,引起光更紧凑地分布在抗蚀剂的预定区域中。光的更紧凑的分布有助于写入具有更小临界尺寸的特征。类似地,用于无铬蚀刻光刻的掩膜也利用穿过两个掩膜的石英部分的光的相移来顺序地图像化抗蚀剂,由此改善了用于产生抗蚀剂图案的光分布。
在一种蚀刻处理中,即公知的干法蚀刻、反应性离子蚀刻或等离子体蚀刻,等离子体用于增强化学反应并蚀刻掩膜的被图案化的铬区域。不幸的是,由于对用于图案化铬的光致抗蚀材料的损坏,传统的铬蚀刻处理通常经历蚀刻偏压问题。由于在铬蚀刻过程中光致抗蚀剂被损坏,因此图案化的光致抗蚀剂的临界尺寸不能被精确地转移到铬层上。此外,因为使用光致抗蚀剂掩膜的蚀刻经历蚀刻偏压,所以对于制造小于5um的临界尺寸来说使用光致抗蚀剂掩膜对于制造者是非常具有挑战性的,因为这些问题导致光掩膜的蚀刻特征的不均匀性,并且相应地减小了使用掩膜生产具有较小临界尺寸的特征的能力。随着掩膜的临界尺寸继续减小,蚀刻均匀性越来越重要。
近来已经使用硬掩膜以在用于光掩膜制造的铬蚀刻中提供更精确的临界尺寸(CD)。但是,现有的铬蚀刻处理对于碳硬掩膜材料具有较差的选择性。因为传统的铬蚀刻化学物质包括氧,所以碳硬掩膜材料通常与蚀刻铬层一样快地蚀刻或者比蚀刻铬层更快地蚀刻,导致不可接受的CD控制,由此使得传统的铬蚀刻处理不适合于光掩膜制造。
因此,需要一种对碳掩膜材料具有较高蚀刻选择性的铬蚀刻处理。
发明内容
本发明一般地提供了一种使用碳硬掩膜来蚀刻铬层的方法。在一个实施例中,蚀刻铬层的方法包括:设置具有通过图案化的碳硬掩膜而部分暴露的铬层的衬底;使含氯处理气体和一氧化碳流至所述处理室中;并保持所述处理气体的等离子体,以通过所述图案化的碳硬掩膜层蚀刻所述铬层。
在另一个实施例中,形成光掩膜的方法包括:在布置在处理室中的衬底支撑上设置衬底,所述衬底具有布置于铬层和石英层上方的图案化的碳硬掩膜;将含氯处理气体和一氧化碳引入到容纳所述衬底的处理室中;形成所述处理气体的等离子体;偏压所述衬底;蚀刻通过所述图案化的硬掩膜暴露的所述铬层以暴露所述石英层的一部分;并去除所述硬掩膜层。
附图说明
通过结合附图考虑以下的详细描述,可以容易地理解本发明的指导,其中:
图1是适于蚀刻铬层的蚀刻反应器的一个实施例的示意性剖视图;
图2描述根据本发明一个实施例用于制造光掩膜的方法流程的一个实施例;
图3A-3G描述根据图2的方法制造的光掩膜的膜堆叠的一系列示意图、局部剖视图;和
图4是处理系统(例如集束型工具)的一个实施例的示意图,包含图1的反应器。
为了帮助理解,可能的地方使用相同的参考标号来表示附图共用的相同元件。应当理解一个实施例的元件和特征可以有益地包含在其它实施例中,而不作进一步的重复。
但是,应当注意,附图仅图示了本发明的示例性实施例,因此不应当被认为是对本发明范围的限制,因为本发明可以采用其它等效的实施例。
具体实施方式
本发明的实施例提供了改进的铬蚀刻处理和用于光掩膜制造的方法。该方法使用对碳硬掩膜材料具有较高选择性的铬蚀刻化学物质。
图1描述蚀刻处理室100的一个实施例的示意图,在蚀刻处理室100中可以进行本发明的石英蚀刻的方法。可以被采用用于这里所公开的指导的合适反应器包括例如去耦等离子体源(DPS)II反应器或者Tetra I和Tetra II光掩膜蚀刻系统,这些都可以从Santa Clara,California的AppliedMaterials,Inc.得到。蚀刻处理室100还可以用作处理系统170的处理模块,如图4所示,例如CENTURA集成半导体晶片处理系统,其也可以从Applied Materials,Inc.得到。处理系统还可以包括适于灰化(ashing)的第一室172和适于聚合物沉积的第二室174。合适的灰化和沉积室的示例包括AXIOM HTTM和Tetra II处理室,它们也可以从Applied Materials,Inc.得到。这里所示的处理室100的具体示例被提供用于说明的目的,其不应当被用于限制本发明的范围。
处理室100通常包含具有衬底基座124的处理室体102和控制器146。室体102具有支撑大致平的电介质顶108的导体壁104。处理室100的其它实施例可以具有其它类型的顶,例如穹顶形状的顶。具有一个或多个电感线圈(例如两个共轴元件110A和110B)的天线110布置在顶108上方。共轴元件110A和110B可以被可选择地控制。天线110通过第一匹配网络114耦合至等离子体功率源112。等离子体功率源112通常在从大约50KHz至大约13.56MHz的可调频率范围内能够产生上至大约3000瓦(W)的功率。在一个实施例中,等离子体功率源112提供大约100至大约600W的电感耦合RF功率,而在另一个实施例中,等离子体功率源112提供大约250W至大约600W的电感耦合RF功率。
衬底基座(阴极)124通过第二匹配网络142耦合至偏压功率源140。偏压源140在大约1至大约10KHz的可调脉冲频率范围内提供大约零至大约600W的功率。偏压源140能够产生脉冲的RF功率输出。可替换地,偏压源140可以产生脉冲的DC功率输出。应当理解源140还可以被构造为提供恒定的DC和/或RF功率输出。
在一个实施例中,偏压源140被构造成以大约1至大约10KHz的频率提供小于大约600瓦的脉冲RF功率,其具有大约10%至大约95%的占空比。在另一个实施例中,偏压源140被构造成以大约2至大约5KHz的频率提供大约10至大约150W的脉冲RF功率,其具有大约80%至大约95%的占空比。在另一个实施例中,偏压源提供大约10W的脉冲RF功率。
在被构造为DPS反应器的一个实施例中,衬底支撑基座124包括静电卡盘160。静电卡盘160包括至少一个箝位电极132并由卡盘电源166控制。在可替换实施例中,衬底基座124可以包含衬底保持机构,例如基座夹紧环、机械卡盘等。
气体面板120耦合到处理室100,以提供处理气体和/或其它气体至室体120的内部。在图1所描述的实施例中,气体面板120耦合至一个或多个入口116,一个或多个入口116形成于室体102的侧壁104中的通道118中。应当理解一个或多个入口116可以设置在其它位置,例如在处理室100的顶108中。
在一个实施例中,气体面板120适合于通过入口116提供处理气体,并将处理气体提供至室体102的内部。在处理过程中,等离子体由室体102中的处理气体形成,并通过来自等离子体功率源112的功率的感应耦合来保持。等离子体可以可替换地远程形成或通过其它方法来激发。在一个实施例中,从气体面板120提供的处理气体包含含氯气体和一氧化碳(CO)气体中的至少一种。含氯气体的示例包括Cl2、BCl3和HCl等等。
处理室100中的压力利用节流阀162和真空泵164来控制。真空泵164和节流阀162能够将室压保持在大约1至大约20mTorr的范围内。
壁104的温度可以使用穿过壁104的包含液体的管道(未示出)来控制。壁温通常保持在大约65℃。一般来说,室壁104由金属(例如铝、不锈钢等)形成,并耦合至电接地106。处理室100还包含用于处理控制、内部诊断、端点检测等的传统系统。这些系统一起被示作辅助系统154。
标线适配器(reticle adapter)182用于将衬底122(例如标线片或其它工件)紧固到衬底支撑基座124上。标线适配器182通常包括下部184和顶部186,下部184被加工为覆盖基座124(例如静电卡盘160)的上表面,顶部186具有开口188,开口188的尺寸和形状被调整为保持衬底122。开口188相对于基座124通常大致定位在中间。适配器182通常由单片耐蚀刻、耐高温材料(例如聚酰亚胺陶瓷或石英)形成。合适的标线适配器公开在2001年6月26日提交的美国专利No.6,251,217中。边缘环126可以覆盖适配器182并/或将适配器182紧固至基座124。
升降机构138用于降低或升高适配器182,由此使衬底122落在衬底支撑基座124上或离开衬底支撑基座124。一般来说,升降机构162包含穿过各自的导向孔136行进的多个升降销(图中示出一个升降销130)。
在操作中,衬底122的温度是通过稳定衬底基座124的温度来控制的。在一个实施例中,衬底支撑基座124包含加热器144和可选的散热器128。加热器144可以是被构造为使热传递流体穿过其流动的一个或多个流体管道。在另一个实施例中,加热器144可以包括至少一个加热元件134,加热元件134是由加热器电源168控制的。可选地,来自气源156的背侧气体(例如氦(He))经由气体管道158提供至形成在衬底122之下的基座表面中的通道。背侧气体用于帮助基座124和衬底122之间的热传递。在处理过程中,基座124可以被嵌入的加热器144加热至稳定状态温度,该加热器144与氦背侧气体结合有助于衬底122的均匀加热。
控制器146包含中央处理单元(CPU)150、存储器148和用于CPU150的辅助电路152,并辅助对处理室100的部件的控制,并同样辅助对蚀刻处理的控制,如下详细所述。控制器146可以是通用计算机处理器中的任何一种形式,其可以用在用于控制各种室和子处理器的工业设备中。CPU150的存储器148可以是容易得到的存储器中的一种或多种,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其它形式的数字存储装置、本地的或远程的。辅助电路152以传统方式耦合到用于辅助处理器的CPU150。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路和子系统等。发明的方法通常储存在存储器148或CPU150可以访问的其它计算机可读介质中作为软件程序。可替换地,这些软件程序还可以由第二CPU(未示出)来储存和/或执行,其中第二CPU与由CPU150控制的硬件远程定位。
图2描述根据本发明一个实施例用于制造如图3A-3G所示的光掩膜320的方法200的流程的一个实施例。方法200通常储存在存储器148中,通常作为软件程序。尽管本发明的方法200被讨论作为软件程序的方法执行,但是这些所公开的方法步骤的一些或全部还可以通过软件控制器在硬件中进行。同样,本发明可以以在计算机系统上执行的软件的方式、以作为特殊应用的集成电路或其它类型硬件实现方式的硬件的方法、或软件和硬件结合的方式来实现。
当衬底122放在支撑基座124上时,方法200在步骤202处开始。衬底122通常包含被制造的光掩膜结构的膜堆叠300。膜堆叠300包括光学透明的硅基材料,例如石英(也就是二氧化硅(SiO2))层302;具有不透明光学屏蔽特性的铬层304,公知为光掩膜材料,其被处理以在石英层302的表面上形成图案化的掩膜。铬层304可以是铬和/或氧氮化铬。衬底122还可以包括衰减层306(虚线所示),例如掺杂有钼(Mo)或硅化钼(MoSi)的氮化硅(SiN),其置于石英层302和铬层304之间,如图3A所示。
在步骤204处,使用化学气相沉积处理将碳硬掩膜层308沉积在衬底122上,如图3B所示。碳硬掩膜层308具有大约50至大约1000的厚度,但是不限于该厚度。碳硬掩膜层308可以是高温无机碳材料,该材料指α碳。在另一个实施例中,掩膜层308可以是商标为AdvancedPatterning Film(APFTM)的材料,该材料由位于Santa Clara,California的Applied Materials,Inc.公司出售。
在一个实施例中,碳硬掩膜层308是通过以下方式沉积的:加热包含一种或多种碳氢化合物和惰性气体的气体混合物,以热分解所述一种或多种碳氢化合物和惰性气体,由此热分解气体混合物中的所述一种或多种碳氢化合物来形成无定形碳层。合适的碳氢化合物包含具有通式CxHy的气体,其中x具有2至4的范围,而y具有2至10的范围。气体混合物可以被加热至大约100至大约700℃的温度。在沉积过程中,偏压功率可以被构造成设计沉积材料的应力,以提高硬掩膜层308和下面的膜之间的粘附。具体地,当光掩膜的临界尺寸接近45nm的范围,碳硬掩膜层308的促进蚀刻偏压的较差粘着性将导致CD从掩膜材料至光掩膜的不可接受的转移。
在一个实施例中,碳硬掩膜层308可以包括在光刻图案化处理中用于控制光反射的电介质抗反射涂层(DARC)。一般来说,DARC层包含二氧化硅(SiO2)、氮氧化硅(SiON)、氮化硅(Si3N4)等。在一个示例性的实施例中,硬掩膜层包括具有大约1800埃组合厚度的α碳膜和DARC层。
在步骤206处,抗蚀剂层310在碳硬掩膜层308上方图案化,如本领域所公知的。抗蚀剂层可以通过任何合适的方法来图案化。
在步骤208处,碳硬掩膜层308使用图案化的光致抗蚀剂掩膜310作为蚀刻掩膜来进行蚀刻,如图3D所示。
在一个实施例中,碳硬掩膜层308可以通过如下方式来蚀刻:以20至200sccm的速率提供溴化氢(HBr)、以10至40sccm的速率提供氧(O2)(也就是说HBr∶O2的流动速率比从1∶2至20∶1),并以20至200sccm的速率提供氩(Ar)、施加200至1500W范围内的功率至感应耦合天线、施加50至200W的阴极偏压功率、并在2至20mTorr范围内的压力下将晶片温度保持在50至200℃。一种处理过程以60sccm的速率提供HBr,以26sccm的速率提供O2(即HBr∶O2的流动速率比为2.3∶1),并以60sccm的速率提供Ar,施加600W的功率至天线,施加60W的偏压功率,保持80℃的晶片温度,并保持4mTorr的压力。
在可选步骤210处,从碳硬掩膜层308移除光致抗蚀剂掩膜310,如图3E所示。在一个实施例中,光致抗蚀剂掩膜310可以在步骤208处在蚀刻碳硬掩膜层308的同时进行移除。在另一个实施例中,光致抗蚀剂掩膜310可以通过暴露至含氧等离子体在硬掩膜蚀刻室处原地去除。光致抗蚀剂掩膜310可以可替换地留在膜堆叠300上。光致抗蚀剂310将在随后的蚀刻处理中被最后消耗,或者在如下所述的步骤214处与图案化的碳硬掩膜层308一起去除。
在步骤212处,使用作为蚀刻掩膜的图案化的碳硬掩膜层308来蚀刻铬层304。图3F描述了具有被蚀刻的铬层304的膜堆叠300。在一个实施例中,蚀刻步骤212利用由引入到处理室100中的含氯气体和一氧化碳(CO)所形成的等离子体。示例性的含氯气体包括Cl2、BCl3和HCl等中的一种或多种。
在另一个实施例中,铬层304在步骤212处通过以50至1000标准立方厘米/分钟(sccm)的速率提供Cl2、并以10至500sccm的速率提供CO来蚀刻。在另一个实施例中,铬层304通过以200至400sccm的速率提供Cl2、并以100至300sccm的速率提供CO来蚀刻。一个具体的处理过程是以300sccm的速率提供Cl2,以150sccm的速率提供CO。处理室中的压力保持在大约2至50mTorr,在一个实施例中,在2至10mTorr。在另一个实施例中,压力保持在3mTorr附近。
在铬层蚀刻步骤212中,脉冲的偏压功率施加至支撑基座124以偏压衬底122。在第一示例中,衬底122用小于大约100W的脉冲RF功率来偏压,在第二示例中,衬底122用大约8W的脉冲RF来偏压。偏压功率可以使用上述的频率和占空比,例如使用大约1至大约10KHz范围内的频率以及大约10%至大约95%的占空比。脉冲的偏压功率可以是DC和/或RF。在另一个实施例中,偏压源140以大约2至大约5KHz的频率提供大约5至大约25W的脉冲RF功率,其具有大约80%至大约95%的占空比。在另一个实施例中,偏压源提供大约10W的脉冲RF功率。
在步骤212中,由处理气体形成的等离子体通过从等离子体功率源112施加大约100至大约1000W的功率至天线110以保持在室100中。在另一个示例中,等离子体是通过施加100至大约500W的RF功率来保持的。在另一个实施例中,施加300W附近的RF功率。应当理解,等离子体可以通过任意数量的方法来激发。
蚀刻通过图案化的碳硬掩膜层308暴露在衬底122上的铬层304直到到达端点。该端点可以由时间、光学干涉量度法、室气体发射光谱或通过其它合适的方法来确定。
在步骤214处,碳硬掩膜层308被去除以形成光掩膜320。在另一个实施例中,碳硬掩膜层308可以在处理室170的进行蚀刻步骤212的位置处原地去除。例如,碳硬掩膜层308可以通过在蚀刻铬层之后,在处理室170原地暴露至含氧等离子体来去除。在另一个实施例中,碳硬掩膜层308可以使用由溴化氢和氧形成的等离子体来去除。HBr∶O2的流动速率比可以在大约1∶10至10∶1的范围内。氩也可以出现在等离子体中。在另一个实施例中,用200至1000W的源功率和0至300W的偏压功率来激发等离子体,而衬底的温度保持在大约20至大约80℃之间。可替换地,由氢(H2)或氧和诸如氩(Ar)的稀释气体的混合物形成的等离子体可以用于去除碳硬掩膜层308。
由此,已经提供了一种用于蚀刻铬层的方法,其通过铬层比碳硬掩膜层的高选择性有利地提高了临界尺寸转移。由此,这里所描述的用改进的CD控制蚀刻铬层的方法有利地辅助了制造适于图案化具有较小临界尺寸的特征的光掩膜。
尽管前述集中于本发明的实施例,但是在不脱离本发明基本范围的情况下还可以设计本发明的其它的和进一步的实施例,本发明的范围由以下权利要求来确定。

Claims (19)

1.一种蚀刻铬层的方法,包括:
在处理室中设置衬底,所述衬底具有通过图案化的碳硬掩膜而部分暴露的铬层;
提供含氯处理气体和一氧化碳至所述处理室中;
保持由所述处理气体形成的等离子体;并且
通过所述碳硬掩膜层蚀刻所述铬层。
2.如权利要求1所述的方法,其中,所述处理气体包含Cl2、BCl3或HCl中的至少一种。
3.如权利要求1所述的方法,其中,提供所述处理气体包括:
以大约50至大约1000sccm的速率流动Cl2至所述处理室中;并且
以大约10至大约500sccm的速率流动CO至所述处理室中。
4.如权利要求1所述的方法,其中,提供所述处理气体包括:
以大约200至大约400sccm的速率流动Cl2至所述处理室中;并且
以大约100至大约300sccm的速率流动CO至所述处理室中。
5.如权利要求1所述的方法,其中,保持所述等离子体包括:
施加大约100瓦至大约500瓦的等离子体源功率。
6.一种蚀刻铬层的方法,包括:
在处理室中设置衬底,所述衬底具有布置于铬层和石英层上方的碳硬掩膜;
将包含含氯气体和一氧化碳中的至少一种的处理气体引入至所述处理室中;
形成所述处理气体的等离子体;
偏压布置在所述处理室中的所述衬底;并且
蚀刻通过图案化的所述碳硬掩膜暴露的所述铬层。
7.如权利要求6所述的方法,其中,引入所述处理气体还包括:
使Cl2、BCl3或HCl中的至少一种流入所述处理室。
8.如权利要求6所述的方法,其中引入所述处理气体包括:
以大约300sccm的速率流动Cl2至所述处理室中;
以大约150sccm的速率流动CO至所述处理室中;
将室压保持在3mTorr附近;
施加300瓦附近的等离子体源功率;并且
供应8瓦附近的等离子体偏压功率。
9.如权利要求6所述的方法,其中,引入所述处理气体还包括:
以大约50至大约1000sccm的速率流动Cl2至所述处理室中;并且
以大约10至大约500sccm的速率流动CO至所述处理室中。
10.如权利要求6所述的方法,其中,引入所述处理气体还包括:
以大约200至大约400sccm的速率流动Cl2至所述处理室中;并且
以大约100至大约300sccm的速率流动CO至所述处理室中。
11.如权利要求6所述的方法,其中,偏压还包括:
以小于100W的功率偏压所述衬底。
12.如权利要求11所述的方法,其中,所述偏压还包括:
脉冲化所述偏压功率。
13.一种形成光掩膜的方法,包括:
在具有铬层和石英层的衬底上形成碳硬掩膜层;
图案化所述碳硬掩膜层以形成硬掩膜;
将包含含氯气体和一氧化碳中的至少一种的处理气体引入到容纳所述衬底的处理室中;
在所述处理室中形成所述处理气体的等离子体;
偏压布置在所述处理室中的衬底;
蚀刻通过图案化的所述硬掩膜暴露的所述铬层;并
去除所述硬掩膜。
14.如权利要求13所述的方法,其中,在蚀刻所述铬层的室中的原地去除所述硬掩膜。
15.如权利要求13所述的方法,其中,引入所述处理气体还包括:
以大约200至大约400sccm的速率流动Cl2至所述处理室中;并且
以大约100至大约300sccm的速率流动CO至所述处理室中。
16.如权利要求13所述的方法,其中,所述偏压包括:
以小于100W的功率偏压所述衬底。
17.如权利要求13所述的方法,其中,所述偏压还包括:
脉冲化所述偏压功率。
18.如权利要求13所述的方法,其中,引入所述处理气体还包括:
使Cl2、BCl3或HCl中的至少一种流入所述处理室。
19.如权利要求13所述的方法,其中,形成碳硬掩膜还包括:
沉积α碳层。
CNA2006101278838A 2005-09-28 2006-09-27 通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法 Pending CN1940717A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/238,424 US7375038B2 (en) 2005-09-28 2005-09-28 Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US11/238,424 2005-09-28

Publications (1)

Publication Number Publication Date
CN1940717A true CN1940717A (zh) 2007-04-04

Family

ID=37894666

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101278838A Pending CN1940717A (zh) 2005-09-28 2006-09-27 通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法

Country Status (5)

Country Link
US (3) US7375038B2 (zh)
JP (1) JP2007096295A (zh)
KR (1) KR100828781B1 (zh)
CN (1) CN1940717A (zh)
TW (1) TWI333124B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101989035B (zh) * 2009-08-03 2012-02-08 中芯国际集成电路制造(上海)有限公司 光掩膜的铬金属膜去除方法
CN101738847B (zh) * 2008-11-21 2012-06-13 Hoya株式会社 光掩模的制造方法及使用该光掩模的图案转印方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
JP4914272B2 (ja) * 2007-04-02 2012-04-11 エルピーダメモリ株式会社 投影露光用のレチクル、該投影露光用のレチクルの製造方法及び該レチクルを用いた半導体装置
DE102007028800B4 (de) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090053620A1 (en) * 2007-08-24 2009-02-26 Hynix Semiconductor Inc. Blank Mask and Method for Fabricating Photomask Using the Same
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
TWI409580B (zh) * 2008-06-27 2013-09-21 S&S Tech Co Ltd 空白光罩、光罩及其製造方法
US8657966B2 (en) * 2008-08-13 2014-02-25 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11417501B2 (en) * 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604270B2 (ja) * 1981-07-24 1985-02-02 三菱電機株式会社 クロム系膜のドライエツチング法
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP3183929B2 (ja) * 1991-01-22 2001-07-09 株式会社東芝 半導体装置の製造方法
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JPH10241895A (ja) 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6066132A (en) * 1998-06-30 2000-05-23 Ethicon, Inc. Articulating endometrial ablation device
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2000138201A (ja) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) * 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20020036452A1 (en) * 1999-12-21 2002-03-28 Masakazu Muroyama Electron emission device, cold cathode field emission device and method for the production thereof, and cold cathode field emission display and method for the production thereof
US20010013313A1 (en) * 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020197509A1 (en) * 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US20030077910A1 (en) * 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
EP1444726A4 (en) * 2001-10-22 2008-08-13 Unaxis Usa Inc METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040079726A1 (en) * 2002-07-03 2004-04-29 Advanced Micro Devices, Inc. Method of using an amorphous carbon layer for improved reticle fabrication
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US6864020B1 (en) * 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
DE112004000591B4 (de) * 2003-04-09 2020-09-10 Hoya Corp. Herstellungsverfahren für Photomaske
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) * 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101738847B (zh) * 2008-11-21 2012-06-13 Hoya株式会社 光掩模的制造方法及使用该光掩模的图案转印方法
CN101989035B (zh) * 2009-08-03 2012-02-08 中芯国际集成电路制造(上海)有限公司 光掩膜的铬金属膜去除方法

Also Published As

Publication number Publication date
JP2007096295A (ja) 2007-04-12
KR100828781B1 (ko) 2008-05-09
US20080050661A1 (en) 2008-02-28
US20080280212A9 (en) 2008-11-13
US7375038B2 (en) 2008-05-20
KR20070035976A (ko) 2007-04-02
US20070072435A1 (en) 2007-03-29
TW200712757A (en) 2007-04-01
TWI333124B (en) 2010-11-11
US7718539B2 (en) 2010-05-18
US20080131789A1 (en) 2008-06-05

Similar Documents

Publication Publication Date Title
CN1940717A (zh) 通过适于光掩膜制造的碳硬掩膜等离子体蚀刻铬层的方法
CN1904727B (zh) 便于光掩模制造中的工艺集成的组合工具和方法
CN1912178B (zh) 双频率偏压化学气相沉积室和用其制造光掩模的方法
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
CN101144973B (zh) 刻蚀远紫外光(edv)光掩模的方法
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7955516B2 (en) Etching of nano-imprint templates using an etch reactor
CN101144974B (zh) 具有自掩模层的光掩模及其刻蚀方法
TWI313394B (en) Method for photomask plasma etching using a protected mask
JP2006215552A5 (zh)
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
CN101174081A (zh) 掩模刻蚀工艺
US7635546B2 (en) Phase shifting photomask and a method of fabricating thereof
CN101046626A (zh) 一种在制造光掩模时蚀刻钼层的方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20070404