JP2008147645A - 計測法とエッチング処理を統合する方法及び装置 - Google Patents

計測法とエッチング処理を統合する方法及び装置 Download PDF

Info

Publication number
JP2008147645A
JP2008147645A JP2007298320A JP2007298320A JP2008147645A JP 2008147645 A JP2008147645 A JP 2008147645A JP 2007298320 A JP2007298320 A JP 2007298320A JP 2007298320 A JP2007298320 A JP 2007298320A JP 2008147645 A JP2008147645 A JP 2008147645A
Authority
JP
Japan
Prior art keywords
chamber
substrate
metrology
blade
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007298320A
Other languages
English (en)
Other versions
JP2008147645A5 (ja
Inventor
Khiem K Nguyen
ケイ. グィエン ケイム
Richard Lewington
レウィングトン リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008147645A publication Critical patent/JP2008147645A/ja
Publication of JP2008147645A5 publication Critical patent/JP2008147645A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】計測とエッチング処理を統合する装置の提供。
【解決手段】装置は、移送チャンバ105と、エッチングチャンバと、計測チャンバ110と、エッチングチャンバと計測チャンバとの間で基板を移送するように構成されたロボット140とを有するマルチチャンバシステム100を備える。また、基板を処理し、この装置を用いて計測測定を実行する方法も開示されている。
【選択図】図1

Description

発明の背景
発明の分野
[0001]本発明は、マルチチャンバプロセスシステムにおける計測ツールを統合する方法及び装置に関する。より具体的には、本発明は、エッチングプロセスのモニタリングのための計測測定値を統合する方法及び装置に関する。
関連技術の背景
[0002]マイクロエレクトロニクスデバイスの製造は、典型的には、半導電性、絶縁性及び導電性の基板に対して実行される数百の個別のステップを必要とする複雑なプロセスシーケンスを伴う。これらのプロセスステップの実例は、酸化、拡散、イオン注入、薄膜成膜、洗浄、エッチング及びリソグラフィーを含む。(多くの場合、パターン転写ステップと呼ばれる)リソグラフィー及びエッチングを用いて、所望のパターンがまず、感光材料層、例えば、フォトレジストに転写された後、後のエッチング中に、下にある材料物質層に転写される。リソグラフィーステップにおいては、ブランケットフォトレジスト層が、パターンのイメージがフォトレジスト中に形成されるようにパターンを含有するレチクル又はフォトマスクを介して照射源に曝される。フォトレジストを適当な化学溶液で現像することにより、フォトレジストの一部が除去され、それに伴って、パターン化されたフォトレジスト層が得られる。このフォトレジストパターンをマスクとして作用させた状態で、下にある材料物質層が、例えば、ウェットエッチング又はドライエッチングを用いて反応性環境に曝され、それにより、パターンが下にある材料物質層に転写される。
[0003]典型的には、ガラス又は石英基板上に支持された金属含有層内に形成される、フォトマスク上のパターンも、フォトレジストパターンを介したエッチングによって生成される。しかし、この場合、フォトレジストパターンは、フォトレジストをレチクルを介して曝すのとは対照的に、例えば、電子ビーム又は他の適当な照射ビームを使用した直接書き込み法により作られる。パターン化されたフォトレジストをマスクとして使用して、パターンは、プラズマエッチングを用いて、下にある金属含有層に転写することができる。高度なデバイス製造での使用に適した市販のフォトマスクエッチング機器の実例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なTetra(商標)Photomask Etch Systemである。
[0004]これまでのデバイス寸法の減少に伴って、高度な技術のためのフォトマスクのデザイン及び製造は、ますます複雑になり、また、クリティカルディメンジョン及びプロセス均一性の制御は、ますますより重要になっている。従って、フォトマスク製造における改善されたプロセス監視及び制御に対する継続的な要求がある。
発明の概要
[0005]本発明の一態様は、移送チャンバ、エッチングチャンバ及び計測チャンバを備えるマルチチャンバシステムを備える装置に関する。ロボットは、移送チャンバの内部に提供されており、エッチングチャンバと計測チャンバの間で基板を移送するように構成されている。ロボットは、ロボットアームに取り付けられたプレートと、プレートに取り付けられたブレードとを備える。ブレードは、ブレードの位置をプレートに対して変化させる少なくとも1つの調節可能部材と、開口を画成する周辺部とを有する。周辺部は、基板を、周辺部の上の所定の高さで支持する支持部材を有する。
[0006]本発明の別の態様は、基板を処理する方法に関する。方法は、移送チャンバと、エッチングチャンバと、計測チャンバと、計測チャンバに作動可能に結合された計測ツールとを備えるマルチチャンバシステムを設けるステップを備える。正方形又は矩形形状であってもよい基板は、エッチングチャンバ内で処理される。処理された基板は、移送チャンバ内に提供されたロボットを用いて、計測チャンバに移送される。少なくとも1つの光学測定が、計測ツールを用いて、処理された基板に対して実行され、その間、処理された基板は、計測チャンバ内部の所定の位置で、ロボットのブレード上に支持される。
[0007]このように簡潔に要約した本発明のより具体的な説明は、添付図面に図示されている本発明の実施形態を参照して行うことができる。しかし、添付図面は、この発明の単に典型的な実施形態を図示しているため、その範囲を限定するものと見なすべきではなく、本発明は、他の同様に有効な実施形態に対して認めることができる。
[0015]理解を容易にするために、図面に共通している同一の要素を指し示すのに、可能な限り、同一の参照符号が用いられている。また、一実施形態の要素を、さらなる詳述を要することなく、他の実施形態に有利に組み入れることができることが意図されている。
詳細な説明
[0016]本発明は、計測ツールとマルチチャンバプロセスシステム(又は、クラスタツール)とを統合する方法及び装置に関する。クラスタツールに計測機能を提供することにより、プロセスの監視及び制御を大幅に容易にすることができる。図1は、移送チャンバ105の周りに配置された複数のプロセスチャンバ102、104、106及び110を有するマルチチャンバプロセスシステム又はクラスタツール100の平面図を示す概略図である。移送チャンバ105は、限定された雰囲気条件を提供する真空システム(図示せず)に結合されている。
[0017]本発明の一実施形態によれば、プロセスチャンバ110の一方は計測チャンバであり、他方のプロセスチャンバ104はエッチングチャンバである。移送チャンバ105は、チャンバ102、104、106及び110へ、及びこれらのチャンバから基板を移送するのに用いられるロボット140を収容する。計測チャンバ110は、計測チャンバ110内部の基板に対して測定を実行する計測ツール180に作動可能に結合されている。例えば、計測ツール180は、エッチングチャンバ104内で処理されている基板に対して光学測定を実行するのに用いることができる。基板の特性に関する情報は、光学測定から抽出することができ、当該基板に対して、追加的な処理が必要であるか否か、又は、エッチングチャンバ内のプロセス条件を調節すべきか否かに関して、判断を行うことができる。計測即とエッチングチャンバ104の統合は、この議論全体を通して実施例として用いられるが、計測測定も、他のプロセスチャンバ、例えば、チャンバ104内でのエッチングの前に、基板上に材料物質を堆積するのに用いられる堆積チャンバに対するプロセスの監視及び制御と統合することができることが理解されよう。堆積チャンバは、システム100の一部、又は、独立した処理システムとすることができる。
[0018]システムコントローラ190は、マルチチャンバシステム100の各チャンバ又はモジュールに結合されており、チャンバを制御する。一般的に、システムコントローラ190は、システム100のチャンバ及び装置の直接制御を用いて、又は、代替として、これらのチャンバ及び装置と関連付けられたコンピュータを制御することにより、システム100の動作の全ての態様を制御する。さらに、コントローラ190は、計測ツール180と関連付けられた制御ユニットと通信するようにも構成されている。例えば、ロボット140の動き、基板を、プロセスチャンバ102、104及び106及び計測チャンバ110へ、及びこれらのチャンバから移送するステップ、プロセスシーケンスを実行するステップ、計測ツール180の動作を、マルチチャンバシステム100の様々なコンポーネントと協調させるステップ等は、システムコントローラ190によって制御される。
[0019]動作中、システムコントローラ190は、それぞれのチャンバ及び装置からのフィードバックが、基板スループットを最適化することを可能にする。システムコントローラ190は、中央演算処理装置(CPU)192と、メモリ194と、サポート回路196とを備える。CPU192は、工業環境に用いることができる汎用コンピュータプロセッサのいずれかの構造のうちの1つとすることができる。サポート回路196は、従来どおりにCPU192に結合され、キャッシュ、クロック回路、入力/出力サブシステム、電源等を備えることができる。ソフトウェアルーチンは、CPU192によって実行された場合、CPUを特定用途コンピュータ(コントローラ)190に変換する。また、ソフトウェアルーチンは、システム100から遠く離れて配設されている第2のコントローラ(図示せず)によって格納及び/又は実行することもできる。
[0020]図2は、計測チャンバ110に結合された移送チャンバ105の概略斜視図である。計測チャンバ110は、チャンバ本体210と、蓋212とを備える。覗窓又はウィンドウ214は、計測チャンバ110への光学的アクセスを可能にするために、蓋212に設けられている。蓋212は、その中にOリングが配置された状態の溝を有する(溝302は、図3に示す)。ウィンドウ214は、Oリングが、ウィンドウ214と蓋212との間に真空シールを形成した状態で、フランジ216によって蓋212に固定されている。図2に示すロボット140は、一方が、図に示すように、リストプレート242に取り付けられたロボットブレード250を有する2つのロボットアーム240を有するデュアルブレードロボットの実例である。本発明の一実施形態によれば、ロボットブレード250は、基板を様々なチャンバへ、及びチャンバから移送するように、及びまた、実行する測定のために、基板を計測チャンバ110内部に支持するように適合されている。
[0021]基板の光学測定中、計測チャンバ110及び移送チャンバ105は、通常の限定された圧力条件下で維持することができる。一実施形態において、これらのチャンバは、約200ミリトールの圧力で維持される。他の圧力、例えば、約1トール未満を、チャンバの排気に用いられる真空ポンプの種類によって決まる約10ミリトールの低圧制限と共に用いてもよい。必要に応じて、これらのチャンバを互いに隔離するために、ドア又はゲートバルブ等のパーティション(図示せず)を、移送チャンバ105と計測チャンバ110との間に提供されてもよい。例えば、基板がプロセスチャンバ102、104又は106のいずれかへ、及びチャンバのいずれかから移送される際、特に、チャンバ雰囲気条件が、様々なチャンバと著しく異なる場合には、計測チャンバ110と移送チャンバを隔離することが好ましい。
[0022]図3は、ロボットブレード250及び基板300の計測ツール180に対する相対位置を示す計測チャンバ110の概略断面図である。覗窓又はウィンドウ224は、光学アクセスのために、計測チャンバ本体210の底部に設けられている。石英ガラス又は他の適当な材料物質で作製されているウィンドウ224は、フランジ226によってチャンバ本体210の外面に取り付けられている。上部ウィンドウ214と同様に、ウィンドウ224とチャンバ面との間の真空シーリングは、チャンバ本体210の表面の溝の内部に配置されたOリング又は他の適当なシーリング材によって実現されている。
[0023]例示的な一実施形態においては、計測ツール180は、エッチングチャンバ104内で処理されているフォトマスク基板300に対する光学測定に用いられる。適当な計測ツールの一例では、特に反射率及び/又は透過率等の測定を実行することができるN&Kフォトマスクツールである。他のメーカーからの計測ツールも、本発明のマルチチャンバプロセスシステムとの使用に適している。計測測定及び分析の詳細は、利用する特定の計測ツールによるが、方法は、一般的に、以下のように進行する。
[0024]計測ツール180からの入射光ビーム182が、底部ウィンドウ224を介して計測チャンバ110内部へ向けられ、(適切な場合)基板300上に焦点が合わされる。典型的には、例えば、周期的であってもなくてもよいライン/スペース構造を備える1つ以上のテストパターンが、基板の異なる位置に設けられる。好ましくは、各テストパターンは、入射ビームのサイズよりも大きい寸法を有し、また、ロボットからの位置決めエラーを考慮して、十分に大きくなっている。入射ビーム182のスポットサイズは、測定の特定の用途及び種類によって変化する。例えば、石英エッチング用途の場合、トレンチの深さの測定は、直径が約1mmのビームサイズで実行することができる。1mmのビームスポットサイズを使用した測定の場合、約0.25mmの基板300の配列繰り返し性で十分である。ビームは、テストパターン、又は、形状構成範囲の少なくとも約5%を有する基板300の領域(すなわち、ブランケット金属又は石英層ではない)上に入射することができる。横方向又は水平方向におけるクリティカルディメンジョン(critical dimension;CD)の測定の場合、より小さなビームサイズ、例えば、約50μmを用いてもよい。さらに、CD測定は、典型的には、干渉法を用いて行われるため、少なくとも入射ビームサイズ程度の大きさの寸法を有するテストパターンが必要である。より大きなテストパターンは、アラインメント及び位置決め手順を容易にし、また、ロボット配置に必要な精度を低減する。例えば、適当なテストパターンは、約200μm〜約5mmの線寸法を有する正方形とすることができる。
[0025]基板300をロボットブレード250によって支持し、かつ計測チャンバ110内部の所定の位置に位置決めした状態で、入射ビーム182は、基板300の適当な領域、例えば、テストパターン上に向けられる。例えば、入射ビーム182とテストパターン構造との相互作用から生じるリターンビーム184は、計測ツール180内の光検知器によって検出される。リターンビーム184は、ビーム反射、回折、散乱、干渉、又はこれらの組合せから発生し、検出した信号の性質は、特定の膜構造及びテストパターンにより変化することになる。
[0026]クリティカルディメンジョン、層の厚さ、エッチング深さ、位相シフト等の基板特性に関連する情報を得るために、結果は、通常、ソフトウェア、例えば、計測ツールに付随するソフトウェアによって分析される。ある状況においては、基板300の1つの位置で実行された1つ以上の測定は、プロセスモニタリングにとって十分である。代替として、基板300は、追加的な測定を基板300の様々な位置で実行できるように、ロボット140によって他の所定位置へ移動させることができる。それらの追加的な測定は、例えば、プロセス均一性に関する情報を提供することができる。これらの結果に基づいて、何らかのプロセス制御又はパラメータの調整の必要性に関する判断を行うことができる。例えば、(x/y方向における)センター・エッジ間の均一性等の測定した基板特性又はプロセス結果は、許容限界内にあるか否か、及び基板を、さらなる処理のためにエッチングチャンバへ戻すべきかを決定するために基準値と比較することができる。代替として、結果が満足できるものではない場合、別の基板を処理する前に、エッチングチャンバ内の1つ以上のプロセス条件を変えてもよい。
[0027]計測ツール180の入射ビーム182は、底部ウィンドウ224を介して計測チャンバ110内に結合され、それによって、基板300の裏面からの測定を可能にしているように示されているが、上部ウィンドウ214を介してビーム182を結合して、他の測定のために、基板300の上面に入射させることもできる。加えて、測定は、図3に図示するような反射モードで作動させる代わりに、透過モードで、すなわち、基板300の一部を透過する光をモニタリングすることで行うこともできる。透過測定に用いる光源は、周辺光、計測ツール180からのビーム182、又は他の光源のいずれであってもよい。
[0028]一般に、計測ツール180は、ツールの動作を制御、例えば、データを取得及び記憶し、結果を分析する等の計測測定を実施する制御ユニット186も有する。この制御ユニット186は、様々な動作を状況に合わせて実行できるようにするために、システムコントローラ190と通信するように構成することができる。
[0029]図4A、図4Bは、製造中のフォトマスク基板の構造の概略断面図である。フォトマスク基板300は、酸化シリコン含有層310と、金属含有層320と、パターン化されたフォトレジスト層330とを備える。酸化シリコン含有層310は、典型的には、様々なリソグラフィーツールからのUV照射波長に対して透過的であるガラス又は石英(石英ガラス)プレートである。金属含有層320は、一般的に、クロム含有又はモリブデン含有層とすることができ、あるいは、金属含有層は、フォトマスクに適している他の金属を含んでもよい。金属含有層320に用いることのできる材料物質の実例は、特に、クロム、酸化クロム、酸窒化クロム、モリブデン、ケイ化モリブデン、ケイ化モリブデンタングステン、及びこれらの組合せを含む。多くの場合、リソグラフィープロセスを改善するために、金属含有層320とフォトレジスト層330との間に、反射防止層(ARC)325が設けられる。フォトレジスト層330は、当業者には公知である様々なリソグラフィー技術又はツールとの使用に適したいろいろなフォトレジスト材料物質を備えてもよい。典型的には、金属含有層320は、約250Å〜約1000Åの厚さを有することができ、ARC層は、約250Å〜約550Åの厚さを有することができ、一方、フォトレジスト層の厚さは、約2000Å〜約5000Åの範囲とすることができる。金属含有層320は、図4Aにおいて、単一の層として示されているが、一般に、製造する特定のマスクにより、層は、異なる材料物質からなる多数の膜又は層を有することもできる。
[0030]フォトレジスト層330中のパターンは、図4Aの構造を、エッチングチャンバ104内部の反応性環境に曝すことにより、下にあるARC層325及び金属含有層320に転写される。例えば、塩素含有ガス(例えば、Cl)又はフッ素含有ガス(例えば、SF又はCF)等のエッチングガス、酸素等の酸化ガス、及び不活性ガスのプラズマを、金属含有層320をエッチングするのに用いることができる。適切な終点検出スキームを、金属含有層320のエッチングを監視するのに用いることができる。特定の用途により、エッチングプロセスは、図4Bに示すように、下にある酸化シリコン含有層310が露出したらすぐに停止することができる、又は、エッチングは、ある種の位相シフトマスクに必要な場合には、酸化シリコン含有層310の所定の深さまで進めることができる。
[0031]エッチングプロセスのモニタリング及び制御は、フォトマスク基板300のエッチング中に、イン・シトゥでの終点検出を用いて行うことができるが、統合された計測チャンバ内での装置外測定は、エッチングチャンバ環境に容易に組み込むことができない拡張された計測機能を提供する。装置外測定の場合、ロボットブレード250がエッチングチャンバ104から基板300を運び出し、基板を計測チャンバ110内部に支持し、かつ正確に位置決めする。ロボットブレード250は、計測ツール180に対する基板300の位置決めを可能にするように、及びより具体的には、計測測定を、基板300がロボットブレード250によって支持されている間に実行できるような、入射ビーム182と、基板300上のテストパターンとの間のアラインメントを可能にするような十分な精度で設計される。例えば、基板300の横方向の位置決めは別として、ロボット140は、ロボットブレード250の高さ及び/又はレベリングの調節、及び1つ以上の調節可能部材を介したマスク基板300の高さ及び/又はレベリングを可能にするようにも構成されている。
[0032]図5Aは、本発明を実施するのに適したロボットブレード250の一実施形態の概略説明図であり、図5Bは、ロボットブレード250によって支持された基板300を示す(点線5B−5Bで指し示されている)部分断面図である。ロボットブレード250は、ロボット140のリストプレート242に取り付けられている第1の端部510と、フォトマスク基板300、又はより一般的には、正方形又は矩形形状を有する基板を支持する第2の端部520とを有する。具体的には、ロボットブレード250の第2の端部520は、開口525を画成する周辺部524、例えば、基板300をx−y平面における定位置に(横方向に)保持する多数の突出部を有する、x−y平面における水平フレームを有する。図5Aの実施形態は、ブレード250に対して所定方向に基板300を維持する5つの突出部530、532、534、536及び538を示す。図5Bに示すように、突出部530及び538は、ブレード250から上方へ伸びており、基板300の2つの側部と隣接する。例えば、水平フレーム524の2つの角部に配置された2つのL字状突出部(図示せず)を含む、水平フレーム及び突出部の他の構成又はデザインも可能である。
[0033]また、図5Bは、それぞれ突出部530及び538に近接したステップ530A及び538A上に支持されている基板300を示す。突出部532に近接して提供されている別のステップ532aも(図5Aを参照)、マスク300のための支持面として機能する。周辺部524の周りのステップ又は位置の数等の他の変形例も許容可能であるが、ステップは、周辺部524の周辺で基板300に接触していなければならない。一実施形態において、ロボットブレード250は、6インチ×6インチ四方で厚さが約0.25インチの基板300を支持するのに適したステップ高さ及び寸法で設計される。ブレード寸法は、他の寸法のフォトマスクに適合するように変更することができることは理解されよう。
[0034]異なる機構を、ロボットブレード250及びブレード上に支持された基板300の位置を調節するのに用いることができる。図5Aの図示した実施形態においては、ロボットブレード250の高さ及びレべリングを調節するために、調節可能部材、例えば、位置決めねじ540、542及び544が設けられている。図5Bに示すように、位置決めねじ540は、ロボットブレード250のねじ穴内部に配置されており、一端部が、ブレード250の底部を貫通して突出し、リストプレート242の上面に載っている。位置決めねじ540(及び図5Aにおける位置決めねじ542、544)の調節は、ロボットブレード250の高さ及びレべリングを、その後、ロボットブレード250を、そのうちの1つがボルト560として示されている多数のボルトを用いて、ロボットアーム240のリストプレート242に固定又は取り付けることができる、リストプレート242に対する所望の位置に位置決めできるようにする。ボルト560は、ブレード250の第1の端部510のクリアランスホール590中に嵌合し、リストプレート242のねじ穴までねじ込まれる。図5Aに示すように、追加的なクリアランスホール591、592、593、594及び595が、リストプレート242上の対応するねじ穴が他のボルトを収容する状態で、ブレード250上に設けられている。一実施形態においては、6個のボルトが、リストプレート242上にブレード250を固定するために用いられているが、他の変形例(例えば、異なる数及び配置のボルト)も許容可能である。好ましくは、ボルトは、ブレード250の(x方向に沿った)中心長手方向軸LL’に対して対称的に配置されたペアで、例えば、クリアランスホール590と593、591と594、又は、592と595に提供されている。
[0035]一般に、上記計測チャンバの動作の前に、ロボットブレード250は、基板300に対して実行される様々な光学測定に必要な許容範囲内で正確なアラインメント(高さ/距離ならびにレべリング)を提供できるように調節される。例えば、リストプレート242に対するブレード250の高さは、1つ以上の位置決めねじ540、542及び544を用いて調節することができ、一方、ブレード250の中心長手方向軸LL’周りの回転又はレべリングは、長手方向軸LL’の対向する両側に配置されている位置決めねじ540又は544を用いて調節することができる。
[0036]基板300は、周辺部524のステップ(例えば、530A、532A及び538A)によって支持される。一実施形態において、ブレード250は、リストプレート242の面によって画成されるx/y面に対して約15度までのブレードの端部の傾斜角で、高さをリストプレート242に対して約0.12インチ(約3mm)まで調節することができる。光ビームに対して基板をレべリングするために、ほとんどの計測測定に対しては、(例えば、位置決めねじによる)高さ調節において、約0.005インチの精度で十分である。ロボットブレード250及び基板300に対する高さ及び傾斜の調節は、図示した実施形態においては、手動で実行されるが、必要に応じて、CPU192を介した電動式制御を可能にすることにより、自動化することもできる。
[0037]図3の実施例において、計測チャンバ110の石英ガラス製ウィンドウ224は、計測ツール180からの光ビーム182が、基板300上に向けられることを可能にする。図4Bに示すように、入射光ビーム182の一部が、石英層310を横断した後、リターンビーム184の一部として、金属含有層320によって反射されて戻ってくる。入射ビーム182の他の部分は、基板300上の構造の他の部分から反射し、散乱し、又は回折して、計測ツール180の光検出器(図示せず)によって検出されるリターンビーム184の一部を形成する。この光学測定の結果に基づいて、クリティカルディメンジョン、エッチング深度、位相シフト等の基板特性を判断することができる。特性が、幾つかの所定の限界から外れていることが分かった場合には、マスクを追加的な処理のためにエッチングチャンバへ移送することができ、プロセス条件は、必要に応じて調節してもよく、又は、他の改善措置をとってもよい。システムコントローラ190は、計測ツール180及びマルチチャンバプロセスシステム100の様々なコンポーネントの動作全体を制御し、かつ協調させるのに用いられる。
[0038]図6は、本発明の装置を用いて実施することができる方法におけるステップを図示したものである。ステップ601において、移送チャンバ、プロセスチャンバ及び計測チャンバを備えるマルチチャンバシステムが設けられる。ステップ603において、計測ツールが、計測チャンバに作動可能に結合される。正方形又は矩形形状の基板がプロセスチャンバ内で処理された後(ステップ605)、基板は、移送チャンバ内部に設けられたロボットを用いて、計測チャンバへ移送される(ステップ607)。ステップ609において、少なくとも1つの光学測定が、計測ツールを用いて基板に対して実行され、その間、処理された基板は、計測チャンバ内部の所定位置で、ロボットのブレードによって支持される。ステップ611において、基板特性が、少なくとも1つの光学測定から判断される。特定の処理要求により、プロセスのモニタリング又は制御のために、追加的な方法ステップ又は変更を、本願明細書に開示した装置を用いて実施してもよい。
[0039]上述したことは、本発明の好ましい実施形態に注力しているが、本発明の他の及びさらなる実施形態も、本発明の基本的な範囲から逸脱することなく考案することができ、また、本発明の範囲は、添付クレームによって決まる。
統合された計測チャンバを有するマルチチャンバプロセスシステムを示す概略図である。 移送チャンバに結合された計測チャンバの概略斜視図である。 図2の計測チャンバ内部の基板を示す概略断面図である。 製造中のフォトマスク基板の構造の概略断面図である。 製造中のフォトマスク基板の構造の概略断面図である。 本発明の一実施形態での使用に適したロボットブレードの概略説明図である。 図5Aのロボットブレードの概略部分断面図である。 本発明の装置を用いて実施することのできる方法を図示したものである。
符号の説明
100…マルチチャンバプロセスシステム又はクラスタツール、102…プロセスチャンバ、104…プロセスチャンバ、105…移送チャンバ、106…プロセスチャンバ、110…計測チャンバ、140…ロボット、180…計測ツール、190…システムコントローラ。

Claims (19)

  1. 移送チャンバと、エッチングチャンバと、計測チャンバとを備えるマルチチャンバシステムと、
    前記移送チャンバ内に配置され、かつ前記エッチングチャンバと前記計測チャンバとの間で基板を移送するように構成されたロボットと、
    を備え、
    前記ロボットが、
    ロボットアームと、
    前記ロボットアームに取り付けられた、第1の位置を有するプレートと、
    前記プレートの第2の位置に取り付けられたブレードと、
    を備え、
    前記ブレードが、前記ブレードの前記プレートに対する方向性を変化させる少なくとも1つの調節可能部材と、開口を画成する周辺部とを有し、前記周辺部が、前記基板を、前記周辺部の上の所定の高さに支持する支持部材を有する、装置。
  2. 前記少なくとも1つの調節可能部材が、前記ブレードと前記プレートとの間の高さ及び角度のうちの少なくとも一方を調節するように構成されている、請求項1に記載の装置。
  3. 前記少なくとも1つの調節可能部材が、前記ブレードの中心長手方向軸の両側のねじ穴内に配置された2つの位置決めねじを備え、前記2つの位置決めねじの各々が、端部を前記プレートの上面に接触させている、請求項2に記載の装置。
  4. 前記ブレードの周辺部がさらに、前記基板の前記周辺部に対する横方向位置を規定する突出部材を備える、請求項1に記載の装置。
  5. 前記計測チャンバに作動可能に結合された計測ツールをさらに備える、請求項1に記載の装置。
  6. 前記計測ツールが、前記計測チャンバの底部側から前記計測チャンバに結合されている、請求項5に記載の装置。
  7. 前記ロボット及び前記計測ツールとつながっているコントローラをさらに備え、前記コントローラが、前記ブレードを前記プレートに対して、前記計測ツールの動作に関して所定の位置に移動させる信号を提供するように構成されている、請求項5に記載の装置。
  8. 前記ブレードが、前記計測ツールを用いて前記基板に対して測定を実行するための十分に正確なアラインメントで、前記計測チャンバ内部に前記基板を支持するように構成されている、請求項5に記載の装置。
  9. 前記計測チャンバが、限定された圧力条件下での動作用に構成されている、請求項1に記載の装置。
  10. 基板を処理する方法であって、
    (a)移送チャンバと、エッチングチャンバと、計測チャンバとを備えるマルチチャンバシステムを設けるステップと、
    (b)前記計測チャンバに作動可能に結合された計測ツールを設けるステップと、
    (c)正方形又は矩形形状の一方である基板を、前記エッチングチャンバ内で処理するステップと、
    (d)前記処理された基板を、前記移送チャンバ内部に提供されたロボットを用いて、前記計測チャンバへ移送するステップと、
    (e)前記計測ツールを用いて、前記処理された基板に対して、少なくとも1つの光学測定を実行し、その間、前記処理された基板を、前記計測チャンバ内部の所定位置で前記ロボットのブレード上に支持するステップと、
    (f)前記少なくとも1つの光学測定から、基板の特性を判断するステップと、
    を備える方法。
  11. 前記基板特性が、クリティカルディメンジョン、エッチング深度、層の厚さ又は位相シフトのうちの1つを含む、請求項10に記載の方法。
  12. (g)ステップ(d)及び(e)を通して限定された圧力条件下で前記計測チャンバ及び前記移送チャンバを維持するステップをさらに備える、請求項10に記載の方法。
  13. 前記基板が、酸化シリコン含有層、金属含有層又はフォトレジスト層のうちの1つを備える、請求項10に記載の方法。
  14. 前記酸化シリコン含有層が石英である、請求項13に記載の方法。
  15. 前記金属含有層が、クロム、酸化クロム、酸窒化クロム、モリブデン、ケイ化モリブデン、ケイ化モリブデンタングステン又はこれらの組合せのうちの1つを備える、請求項13に記載の方法。
  16. 前記ステップ(e)が、
    (e1)入射光ビームを前記計測ツールから前記処理した基板上へ向けるステップと、
    (e2)前記処理した基板からのリターン光ビームを検出するステップと、
    をさらに備える、請求項10に記載の方法。
  17. ステップ(e)の前に、前記入射光ビームを、前記基板上のテストパターンへ向けることができるように、かつ前記リターン光ビームを、前記計測ツールによって検出できるようにするために、前記基板の方向性を調節するステップをさらに備える、請求項16に記載の方法。
  18. (g)前記マルチチャンバシステム及び前記計測ツールとつながっているコントローラを提供するステップと、
    (h)前記光学測定から得られた情報に応答して、前記コントローラから前記マルチチャンバシステムへ命令を送るステップと、
    をさらに備える、請求項10に記載の方法。
  19. (g)(f)で判断された基板の特性を基準と比較するステップと、
    (h)(g)からの結果に基づいて、
    (h1)追加的処理のために、前記処理した基板を前記エッチングチャンバへ移送するステップと、
    (h2)別の基板を処理する前に、前記エッチングチャンバにおける少なくとも1つのプロセス条件を変えるステップと、
    のうちの一方を実行するステップと、
    をさらに備える、請求項10に記載の方法。
JP2007298320A 2006-11-21 2007-11-16 計測法とエッチング処理を統合する方法及び装置 Pending JP2008147645A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/561,995 US7601272B2 (en) 2005-01-08 2006-11-21 Method and apparatus for integrating metrology with etch processing

Publications (2)

Publication Number Publication Date
JP2008147645A true JP2008147645A (ja) 2008-06-26
JP2008147645A5 JP2008147645A5 (ja) 2011-01-06

Family

ID=39402905

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007298320A Pending JP2008147645A (ja) 2006-11-21 2007-11-16 計測法とエッチング処理を統合する方法及び装置

Country Status (8)

Country Link
US (1) US7601272B2 (ja)
EP (1) EP1939931B1 (ja)
JP (1) JP2008147645A (ja)
KR (1) KR101188385B1 (ja)
CN (2) CN103745912B (ja)
AT (1) ATE455369T1 (ja)
DE (1) DE602007004290D1 (ja)
TW (1) TWI387039B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9257292B2 (en) * 2011-03-30 2016-02-09 Tokyo Electron Limited Etch system and method for single substrate processing
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
EP3069367B1 (en) 2013-11-11 2019-01-09 Howard Hughes Medical Institute Workpiece transport and positioning apparatus
CN105097984A (zh) * 2014-05-12 2015-11-25 上海理想万里晖薄膜设备有限公司 一种硅基异质结太阳能电池钝化层前期处理方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
CN107444906A (zh) * 2017-09-13 2017-12-08 贵州香雪海冷链有限公司 一种冷柜箱体自动抽真空的生产方法
CN108364888A (zh) * 2018-02-11 2018-08-03 武汉华星光电半导体显示技术有限公司 检测设备
KR102655137B1 (ko) * 2018-03-20 2024-04-04 도쿄엘렉트론가부시키가이샤 계측 통합형 기판 프로세싱 툴 및 그 이용 방법
US11309404B2 (en) 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11287323B2 (en) 2019-10-07 2022-03-29 Samsung Electronics Co., Ltd. Semiconductor substrate measuring apparatus, semiconductor substrate processing apparatus and semiconductor device manufacturing method using the same
KR20210116240A (ko) * 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
JP7565191B2 (ja) * 2020-11-09 2024-10-10 ニデックインスツルメンツ株式会社 産業用ロボット
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10338347A (ja) * 1997-06-11 1998-12-22 Kokusai Electric Co Ltd 基板搬送装置
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
JP2002148011A (ja) * 2000-11-07 2002-05-22 Ulvac Japan Ltd 基板の処理装置及び基板の処理方法
JP2004165365A (ja) * 2002-11-12 2004-06-10 Tokyo Electron Ltd 基板処理装置及び方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5891352A (en) * 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US6060022A (en) * 1996-07-05 2000-05-09 Beckman Coulter, Inc. Automated sample processing system including automatic centrifuge device
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
WO2000058188A1 (en) * 1999-03-25 2000-10-05 N & K Technology, Inc. Wafer handling robot having x-y stage for wafer handling and positioning
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
EP1269521A1 (en) * 2000-04-07 2003-01-02 Varian Semiconductor Equipment Associates Inc. WAFER ORIENTATION SENSOR FOR GaAs WAFERS
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6411389B1 (en) * 2000-05-03 2002-06-25 The Regents Of The University Of Claifornia Optical monitor for real time thickness change measurements via lateral-translation induced phase-stepping interferometry
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20020147960A1 (en) * 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6653231B2 (en) * 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6479309B1 (en) * 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4197103B2 (ja) 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6762130B2 (en) * 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
US6825487B2 (en) * 2002-07-30 2004-11-30 Seh America, Inc. Method for isolation of wafer support-related crystal defects
JP4584531B2 (ja) * 2002-08-02 2010-11-24 株式会社日立製作所 異物モニタリングシステム
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
KR100568867B1 (ko) * 2004-03-18 2006-04-10 삼성전자주식회사 웨이퍼 좌표감지장치 및 그 웨이퍼 좌표감지 기능을 갖는반도체 제조설비
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US6961626B1 (en) * 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10338347A (ja) * 1997-06-11 1998-12-22 Kokusai Electric Co Ltd 基板搬送装置
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
JP2002148011A (ja) * 2000-11-07 2002-05-22 Ulvac Japan Ltd 基板の処理装置及び基板の処理方法
JP2004165365A (ja) * 2002-11-12 2004-06-10 Tokyo Electron Ltd 基板処理装置及び方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method

Also Published As

Publication number Publication date
TW200832594A (en) 2008-08-01
KR20080046107A (ko) 2008-05-26
CN101188192A (zh) 2008-05-28
US20070097383A1 (en) 2007-05-03
EP1939931B1 (en) 2010-01-13
TWI387039B (zh) 2013-02-21
DE602007004290D1 (de) 2010-03-04
EP1939931A3 (en) 2008-11-05
CN103745912A (zh) 2014-04-23
KR101188385B1 (ko) 2012-10-08
US7601272B2 (en) 2009-10-13
EP1939931A2 (en) 2008-07-02
CN103745912B (zh) 2018-09-21
ATE455369T1 (de) 2010-01-15

Similar Documents

Publication Publication Date Title
JP2008147645A (ja) 計測法とエッチング処理を統合する方法及び装置
US7846848B2 (en) Cluster tool with integrated metrology chamber for transparent substrates
TWI609250B (zh) 度量衡方法、度量衡裝置及元件製造方法
JP5065082B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US7250309B2 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6924088B2 (en) Method and system for realtime CD microloading control
US7732109B2 (en) Method and system for improving critical dimension uniformity
CN101055422B (zh) 用于透明基材的整合式测量室
US8853087B2 (en) Method of manufacturing semiconductor device and system for manufacturing semiconductor device
JP4808676B2 (ja) 露光装置、露光方法、及び表示用パネル基板の製造方法
TWI803728B (zh) 判定用於微影製程的控制參數之方法及設備、包含指令之電腦程式、度量衡設備及微影設備
JP5441800B2 (ja) プロキシミティ露光装置、プロキシミティ露光装置の基板位置決め方法、及び表示用パネル基板の製造方法、並びに光学式変位計を用いた微小角度検出方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100706

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120703