KR20080046107A - 에칭 처리를 이용하여 계량학을 통합하기 위한 방법 및장치 - Google Patents

에칭 처리를 이용하여 계량학을 통합하기 위한 방법 및장치 Download PDF

Info

Publication number
KR20080046107A
KR20080046107A KR1020070118372A KR20070118372A KR20080046107A KR 20080046107 A KR20080046107 A KR 20080046107A KR 1020070118372 A KR1020070118372 A KR 1020070118372A KR 20070118372 A KR20070118372 A KR 20070118372A KR 20080046107 A KR20080046107 A KR 20080046107A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
metering
blade
robot
Prior art date
Application number
KR1020070118372A
Other languages
English (en)
Other versions
KR101188385B1 (ko
Inventor
키엄 케이. 뉴옌
리챠드 레윙톤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080046107A publication Critical patent/KR20080046107A/ko
Application granted granted Critical
Publication of KR101188385B1 publication Critical patent/KR101188385B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

계량 및 에칭 처리를 통합하기 위한 장치가 기재되어 있다. 장치는 전달 챔버, 에칭 챔버 및 계량 챔버, 그리고 에칭 챔버와 계량 챔버 사이에 기판을 전달하도록 구성된 로봇을 가지는 복합 챔버 시스템을 포함한다. 기판을 처리하고 이러한 기판을 이용하여 계량 측정을 수행하는 방법이 또한 기재되어 있다.

Description

에칭 처리를 이용하여 계량학을 통합하기 위한 방법 및 장치 {METHOD AND APPARATUS FOR INTEGRATING METROLOGY WITH ETCH PROCESSING}
본 발명은 복합 챔버 공정 시스템 내의 계량 도구를 통합하는 방법 및 장치에 관한 것이다. 보다 특히 본 발명은 에칭 공정 모니터링을 위한 계량 측정을 통합하기 위한 방법 및 장치에 관한 것이다.
마이크로전자 소자의 제조는 통상적으로 반도체, 유전체 및 전도성 기판상에서 수행되는 수백의 개별 단계를 요구하는 복잡한 공정 순서를 수반한다. 이러한 공정 단계의 예는 산화, 확산, 이온 주입, 박막 증착, 세정, 에칭 및 리소그래피(lithography)를 포함한다. (종종 패턴 전달 단계로서 언급되는) 리소그래피 및 에칭을 이용하여, 원하는 패턴이 감광성 재료 층, 예를 들어, 포토레지스트에 우선 전달되며, 후속적인 에칭 중에 하부 재료 층에 전달된다. 리소그래피 단계에서, 블랭킷 포토레지스트 층이 레티클 또는 포토마스크를 포함하는 패턴을 통해 방사선 소오스에 노출되어 패턴의 상이 포토레지스트 내에 형성된다. 적합한 화학 용액으 로 포토레지스트를 전개시킴으로써, 포토레지스트의 일부가 제거되어 패턴화된 포토레지스트 층을 야기한다. 포토레지스트 패턴이 마스크로서 작용하면, 하부 재료 층은 예를 들어, 습식 또는 건식 에칭을 이용하는 반응 환경에 노출되어 패턴이 하부 재료 층에 전달되게 한다.
통상적으로, 유리 또는 석영 기판상에 지지되는 금속 함유 층으로 형성되는 포토마스크 상의 패턴은 포토레지스트 패턴을 통해 에칭함으로써 발생하기도 한다. 그러나, 이러한 경우에 포토레지스트 패턴은 다이렉트 라이트(direct-write) 기술, 예를 들어, 레티클을 통해 포토레지스트를 노출시키는 것에 대립하는 것으로서, 전자 비임 또는 다른 적합한 방사선 비임을 이용하여, 다이렉트 라이트 기술(direct-write technique)에 의해 생성된다. 패턴화된 포토레지스트를 마스크로서 이용하면, 패턴이 플라즈마 에칭을 이용하여 하부 금속 함유 층에 전달될 수 있다. 진척된 소자 제조에 이용하기에 적합한 상용으로 이용가능한 포토마스크 에칭 장비의 예는 캘리포니아 산타클라라(Santa Clara, California)에 소재하는 어플라이드 머티어리얼즈 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 Tetra™ 포토마스트 에칭 시스템이다.
소자 치수가 감소함에 따라서, 진척되는 기술에 대한 포토마스크의 디자인 및 제조가 점점 복합해지며, 임계 치수 및 공정 균일성의 제어가 점점 더 중요해진다. 따라서, 포토마스크 제조 및 공정 모니터의 개선 진행이 필요하다.
본 발명의 일 양상은 전달 챔버, 에칭 챔버 및 계량 챔버를 가지는 복합 챔버를 포함하는 장치에 관한 것이다. 로봇이 제공되며 에칭 챔버와 계량 챔버 사이에 기판을 전달하도록 구성된다. 로봇은 판에 로봇 아암에 부착되는 판 및 판에 부착되는 블레이드를 포함한다. 블레이드는 판에 대한 블레이드의 위치를 변경시키기 위한 하나 이상의 적합한 부재, 및 개구를 규정하는 주변 부분을 가진다. 주변 부분은 주변 부분 위에서 미리결정된 높이로 기판을 지지하기 위한 지지 부재를 가진다.
본 발명의 다른 양상은 기판을 처리하기 위한 방법에 관한 것이다. 방법은 전달 챔버, 에칭 챔버, 계량 챔버, 및 계량 챔버에 작동가능하게 연결되는 계량 도구를 포함하는 복합 챔버 시스템을 제공하는 단계를 포함한다. 정방형 또는 장방형 형태일 수 있는 기판이 에칭 챔버에서 처리된다. 처리된 기판은 전달 챔버 내부에 제공되는 로봇을 이용하여 계량 챔버에 전달된다. 하나 이상의 광학 측정은 처리된 기판이 로봇의 블레이드 상에서 계량 챔버 내부의 미리결정된 위치에서 지지되면서, 계량 도구를 이용하여 처리된 기판상에서 측정된다.
본 발명은 복합 챔버 공정 시스템(또는 클러스터 도구)을 이용하여 계량 도구를 통합하기 위한 방법 및 장치에 관한 것이다. 클러스터 도구 내에 계량 성능을 제공함으로써, 공정 모니터 및 제어가 매우 용이해질 수 있다. 도 1은 전달 챔버(105) 둘레에 배치되는 복수의 공정 챔버(102, 104, 106, 110)를 가지는 클러스 터 도구(100) 또는 복합 챔버 공정 시스템의 평면도를 개략적으로 도시하고 있다. 전달 챔버(105)는 감소한 대기 조건을 제공하기 위해서 진공 시스템(도시되지 않음)에 연결된다.
본 발명의 일 실시예에 따라서, 하나의 공정 챔버(110)는 계량 챔버이며, 다른 공정 챔버(104)는 에칭 챔버이다. 전달 챔버(105)는 챔버(102, 104, 106, 110) 내 외측으로 기판을 전달하기 위해 이용된다. 계량 챔버(110)는 계량 챔버(110) 내부의 기판 상에서 측정을 수행하는, 계량 도구(180)에 작동가능하게 연결된다. 예를 들어, 계량 도구(180)는 에칭 챔버(104) 내에서 처리되는 기판 상에 광학 측정을 수행하는데 이용될 수 있다. 기판 특성에 관한 정보가 광학 측정으로부터 얻어지며, 부가적인 처리가 기판에 있어서 필요한지 또는 에칭 챔버 내의 공정 조건이 조절될 수 있는지 없는지 결정될 수 있다. 에칭 챔버(104)를 갖는 계량학의 통합이 이러한 결정을 통한 예로서 이용되며, 계량 측정이 공정 모니터링과 통합될 수도 있으며, 다른 공정 챔버, 예를 들어 챔버(104) 내에서의 에칭 이전에 기판상에 재료를 증착하는데 이용되는 증착 챔버를 이용하여 제어할 수 있음을 이해해야한다. 증착 챔버가 시스템(100), 또는 개별 처리 시스템 또는 시스템(100)의 일부일 수 있다.
시스템 제어기(190)가 복합 챔버 시스템(100)의 모듈 또는 각각의 챔버에 연결되어 제어한다. 일반적으로, 시스템 제어기(190)는 시스템(100)의 장치 및 챔버의 직접 제어를 이용하거나, 이와 달리, 이러한 챔버 및 장치와 관련된 컴퓨터를 제어함으로써 시스템(100) 작동의 모든 양상을 제어한다. 또한, 제어기(190)는 계 량 도구(180)와 관련된 제어 유닛과 통하도록 구성되기도 한다. 예를 들어, 기판을 공정 챔버(102, 104, 106) 및 계량 챔버(110)의 내 외측으로 전달하는 로봇(140)의 이동, 공정 순서를 수행하는 단계, 복합 챔버 시스템(100)의 다양한 부품을 이용하여 계량 도구(180)의 작동을 조정하는 단계 등이 시스템 제어기(190)에 의해 제어된다.
작동 중, 시스템 제어기(190)는 기판 수율을 최적화하기 위해서 장치 및 개별 챔버로부터 피드백할 수 있다. 시스템 제어기(190)는 중앙 처리 유닛(CPU; 192), 메모리(194), 및 지지 회로(196)를 포함한다. CPU(192)는 산업 분야에 이용될 수 있는 임의의 형태의 하나의 범용 컴퓨터 프로세서일 수 있다. 지지 회로(196)가 CPU(192)에 통상적으로 연결되며, 캐시(cache), 시계 회로(clock circuis), 입력, 입/출력 서브시스템, 전력 공급원 등을 포함할 수 있다. CPU(192)에 의해 실행되는 경우에 소프트웨어 루틴은 CPU을 특수 용도 컴퓨터(specific purpose computer)(제어기;190)로 변환한다. 소프트웨어 루틴은 시스템(100)으로부터 멀리 위치되는 제 2 제어기(도시되지 않음)에 의해 저장 및/또는 실행될 수도 있다.
도 2는 계량 챔버(110)에 연결되는 전달 챔버(105)의 개략적인 사시도이다. 계량 챔버(110)는 챔버 바디(210) 및 리드(212)를 포함한다. 뷰포트 또는 윈도우(214)가 계량 챔버(110)에 광 접속을 허용하기 위해서 리드(212)상에 제공된다. 리드(212)는 내부에 배치되는 O-링을 갖는 그루브(그루브(302)가 도 3에 도시되어 있다)를 가진다. 윈도우(214)는 윈도우(214)와 리드(212) 사이에 진공 시일을 제 공하는 O-링을 이용하여, 플렌지(216)에 의해 리드(212)에 고정된다. 도 2에 도시된 로봇(140)은 두 개의 로봇 아암(240)을 가지는 이중 블레이드 로봇의 예이며, 두 개의 로봇 아암중 어느 하나의 로봇 아암은 손목판(wrist plate; 242)에 부착되는 로봇 블레이드(250)를 가진다. 본 발명의 실시예에 따라서, 로봇 블레이드(250)가 여러 챔버 내외측으로 기판을 전달하도록 이루어지며, 수행될 측정을 위해 계량 챔버(110) 내부에 기판을 지지하도록 이루어지기도 한다.
기판의 광착 측정 중에, 계량 챔버(110) 및 전달 챔버(105)는 공통의, 감소한 압력 조건하에서 유지될 수 있다. 일 실시예에서, 챔버가 약 200 mtorr의 압력에서 유지된다. 챔버의 배기를 위해 이용되는 진공 펌프의 형태에 의해 결정되기 때문에 다른 압력 예를 들어, 약 10 mtorr의 보다 낮은 압력 한계치를 갖는 약 1 torr 미만이 이용될 수 있다. 도어 또는 게이트 밸브와 같은 파티션(도시되지 않음)이 전달 챔버(105)와 계량 챔버(110) 사이에 제공될 수 있어서 챔버가 원하면 서로 격리될 수 있다. 예를 들어, 기판이 임의의 공정 챔버(102, 104, 106) 내외측으로 전달되는 경우에, 전달 챔버로부터 계량 챔버(110)를 격리시키는 것이 바람직하며, 특히 챔버 대기 조건이 여러 챔버들 사이에서 상당히 다른 경우에 그러하다.
도 3은 계량 도구(180)에 관해 기판(300) 및 로봇 블레이드(250)의 상대적인 위치를 도시하는 계량 챔버(110)의 개략적인 도면이다. 뷰포트 또는 윈도우(224)가 광 접속을 위해 계량 챔버 바디(210)의 바닥에 제공된다. 융해된 실리카 또는 다른 적합한 재료로 제조되는 윈도우(224)가 플렌지(226)에 의해 챔버 바디(210)의 외측 표면에 부착된다. 최상부 윈도우(214)와 유사하게, 윈도우(224)와 챔버 표면 사이의 진공 밀봉은 챔버 바디(210) 표면 상의 그루브 내부에 배치되며, O-링, 또는 다른 적합한 밀봉 재료의 이용으로 달성된다.
일 실시예에서, 계량 도구(180)가 에칭 챔버(104) 내에서 처리되는 포토마스크 기판(300) 상에서 광착 측정을 위해 이용된다. 적합한 계량 도구의 일 실시예는 반사율 및/또는 투과율 등과 같은 측정을 수행할 수 있는 N&K 포토마스크 도구이다. 다른 제조업자로부터의 계량 도구가 본 발명의 복합 챔버 공정 시스템과 함께 이용하기에 적합할 수도 있다. 계량 측정 및 분석의 상세한 설명은 사용되는 특정 계량 도구에 따라서 달라지지만, 방법은 일반적으로 아래와 같다.
계량 도구(180)로부터의 입사 광 비임(182)이 바닥 윈도우(224)를 통해 계량 챔버(110) 내부로 지향되며, (적합하다면) 기판(300)상에서 포커싱된다. 통상적으로, 예를 들어, 주기적이거나 주기적이지 않은 선/공간 구조를 포함하는 하나 이상의 테스트 패턴들이 기판의 여러 위치에 제공된다. 바람직하게, 각각의 패턴은 입사 비임 크기보다 큰 치수를 가지며 또한, 로봇으로부터 에러의 위치를 고려하는데 충분히 크다. 입사 비임(182)의 스폿 크기는 측정의 형태 및 특정 응용예에 의해 변한다. 예를 들어, 석영 에칭 응용예를 위해서 트렌치 깊이 측정은 지름이 약 1mm인 비임 크기로 수행될 수 있다. 1mm 비임 스폿 크기를 이용한 측정에 있어서, 약 0.25 mm의 기판(300)의 배치 반복이 충분할 것이다. 비임은 약 5% 이상의 피쳐 커버리지를 가지는 기판(300)의 영역(즉, 블랭킷 금속 또는 석영 층이 아님) 또는 실험 패턴상에서 입사될 수 있다. 측면 또는 수평 방향으로 임계치수(CD:critical dimension)의 측정을 위해서, 보다 작은 비임 사이즈, 즉 약 50㎛가 이용될 수 있다. 또한, 임계치수 측정은 통상적으로, 간섭 방법(interferometer method)을 이용하여 수행되기 때문에, 적어도 입사 비임 크기 만큼 큰 치수를 가지는 테스트 패턴이 필요하다. 보다 큰 테스트 패턴은 정렬 및 위치설정 절차를 용이하게 할 것이며 로봇 배치를 위해 필요한 정밀도를 감소시킨다. 예를 들어, 적합한 테스트 패턴은 약 200㎛ 내지 약 500 mm의 선형 치수(linear dimension)를 가지는 정방형일 수 있다.
기판(300)이 로봇 블레이드(250)에 의해 지지되며, 계량 챔버(100) 내부의 미리 결정된 위치에 위치되면, 입사 비임(182)은 기판(30)의 적합한 영역, 예를 들어 테스트 패턴상에 지향된다. 예를 들어, 입사 비임(182)과 테스트 패턴 구조 사이의 상호작용을 야기하는 회귀 비임(return beam; 184)이 계량 도구(180) 내의 광검출기에 의해 탐지된다. 회귀 비임(184)은 비임 반사, 회절, 스캐터링, 간섭, 또는 이의 조합으로부터 비롯되며, 탐지된 신호의 특성은 특정 필름 구조 및 테스트 패턴에 따라서 변할 것이다.
임계 치수, 층 두께, 에칭 깊이, 상 전이(phase shift), 등과 같은 기판 특성과 관련된 정보를 달성하기 위해서 소프트웨어 예를 들어, 계량 도구와 관련된 소프트웨어에 의해 일반적으로 결과가 분석된다. 특정 상황에서, 기판(300)의 하나의 위치에서 수행되는 하나 이상의 측정이 공정 모니터링 목적을 위해 충분할 수 있다. 이와 달리, 기판(300)은 다른 미리 결정된 위치로 로봇(140)에 의해 이동될 수 어서 부가적인 측정이 기판(300)의 여러 위치에서 수행되게 할 수 있다. 예를 들어, 이러한 부가적인 측정은 공정 균일성과 관련한 정보를 제공할 수 있다. 이러한 결과를 기초로 하여, 임의의 공정 제어 또는 매개변수 조절에 대한 필요성을 결정할 수 있다. 예를 들어, (x/y 방향으로)센터 투 에지(center to edge) 균일성과 같은 측정된 기판 특성 또는 공정 결과는 수용가능한 한계인지 아닌지, 그리고 기판이 추가의 처리를 위해서 에칭 챔버에 회수될 수 있는지 아닌지 결정하도록 참조값으로(reference) 비교될 수 있다. 이와 달리, 결과가 만족스럽지 않다면, 다른 기판이 처리되기 전에 에칭 챔버 내의 하나 이상의 공정 조건을 변경할 수도 있다.
계량 도구(180)의 입사 비임(182)이 바닥 윈도우(224)를 통해 계량 챔버(110) 내부로 연결되고, 기판(300)의 후면으로부터 측정을 제공하는 것처럼 도시되어 있지만, 다른 측정을 위해 기판의 최상부상에 입사시키도록 최상부 윈도우(214)를 통해 비임(182)을 연결할 수도 있다. 게다가, 측정은 전달 모드 즉, 도 3에 도시된 반사 모드로 작동하는 대신에, 기판(300)의 일부분을 통해 전달되는 빛을 모니터링하여 수행될 수도 있다. 전달 측정을 위해 이용되는 광 소오스는 주변 광, 계량 도구(180)로부터의 비임(182), 또는 다른 광 소오스 중 어느 하나 일 수 있다.
일반적으로, 계량 도구(180)는 도구의 작동 제어, 예를 들어 계량 측정을 수행하며, 데이터를 수용하고 저장하며, 결과를 분석하는 등의 제어를 위한 제어 유닛(186)도 가진다. 이러한 제어 유닛(186)은 시스템 제어기와 연결되도록 구성될 수 있어서 여러 작동들이 조화되는 방식(coordinated fashion)으로 수행시킨다.
도 4a 및 도 4b는 제조 중에 포토마스크 기판의 구조의 개략적인 단면도이다. 포토마스크 기판(300)은 실리콘 산화물 함유 층(310), 금속 함유 층(320) 및 패턴화된 포토레지스트 층(330)을 포함한다. 실리콘 산화물 함유 층(310)은 통상적으로, 여러 리소그래픽 도구로부터 UV 방사 파장이 통과되는 석영(용융 실리카) 또는 유리이다. 금속 함유 층(320)은 일반적으로, 크롬 함유 또는 몰리브덴 함유 층일 수 있으며, 또는 포토마스크에 이용하기에 적합한 다른 금속을 포함할 수 있다. 금속 함유 층(320)에 이용될 수 있는 재료의 예는 크롬, 크롬 산화물, 크롬 산질화물, 몰리브덴, 몰리브덴 실리사이드, 몰리브덴 텅스텐 실리사이드 등 그리고 이의 조합물을 포함한다. 무반사 층(ARC)(325)이 금속 함유 층(320)과 포토레지스트 층(330) 사이에 종종 제공되어 리소그래피 공정을 개선시킨다. 포토레지스트 층(330)은 당업자에게 공지된 다양한 리소그래피 기술 또는 도구와 이용하기에 적합한 다양한 포토레지스트를 포함할 수 있다. 통상적으로, 금속 함유 층(330)은 약 250Å 내지 약 1000Å 사이의 두께를 가질 수 있으며, ARC 층은 약 250Å 내지 약 550 Å의 두께를 가질 수 있지만, 포토레지스트 층의 두께는 약 2000Å 내지 약 5000Å의 범위일 수 있다. 금속 함유 층(320)이 도 4a에서 단일 층으로 도시되지만, 일반적으로 제조되는 특정 마스크에 따라 달라지며, 여러 재료의 층 또는 복합 필름을 가질수도 있다.
포토레지스트 층(330) 내의 패턴은 에칭 챔버(104) 내부의 반응 대기에 도 4a의 구조를 노출시킴으로써 금속 함유 층(320) 및 하부 ARC 층(325)에 전달된다. 예를 들어, 염소 함유 가스(예를 들어 Cl2) 또는 플루오르 함유 가스(예를 들어 SF6 또는 CF4), 산소와 같은 산화 가스 및 헬륨과 같은 불활성 가스와 같은 에칭 가스의 플라즈마가 금속 함유 층(320)을 에칭하기 위해 이용될 수 있다. 적합한 종료점 탐지 계획은 금속 함유 층(320)의 에칭을 모니터링하는데 이용될 수도 있다. 특정 응용예에 따라서, 에칭 공정은 도 4b에 도시된 바와 같이 하부 실리콘 산화물 함유 층(310)이 노출되자마자 정지될 수 있으며, 에칭은 실리콘 산화물 함유 층(310) 내의 일부 미리결정된 깊이로 진행될 수 있으며, 이는 상 전이 마스크의 특정 형태를 위해 필요하기 때문이다.
에칭 공정의 모니터링 및 제어가 포토마스크 기판(300)의 에칭 중에 인 시츄(in-situ) 종료점 탐지를 이용하여 수행될 수 있지만 통합되는 계량 챔버 내에서의 엑스-시츄(ex-situ) 측정은 에칭 챔버 대기로 용이하게 통합될 수 없는 연장된 계량 성능을 제공한다. 엑스-시츄 측정을 위해서, 로봇 블레이드(250)는 에칭 챔버(104)로부터 기판(300)을 이송하며, 계량 챔버(110) 내부에 적합하게 위치시키며 지지한다. 로봇 블레이드(250)가 계량 도구(180)에 대해 기판(300)의 위치설정을 허용하도록 디자인되며, 보다 특히 기판(300) 상의 테스트 패턴과 입사 비임(182) 사이의 정렬을 허용하는 충분한 정밀함을 이용하여, 계량 측정은 기판(300)이 로봇 블레이드(250)에 의해 지지되는 동안 수행될 수 있다. 예를 들어, 기판(300)의 측면 위치 설정을 제외하고, 로봇(140)은 하나 이상의 조절가능 부재를 통한 마스크 기판(300)의 레벨링 및/또는 높이, 및 로봇 블레이드(250)의 높이 및 레벨링 조절 을 제공하도록 구성될 수도 있다.
도 5a는 본 발명을 실행하기에 적합한 로봇 블레이드(250)의 일 실시예의 개략적인 도면이며, 도 5b는 로봇 블레이드(250)에 의해 지지되는 기판(300)을 도시하는 (파선 5B-5B로 나타내는) 부분 단면도이다. 로봇 블레이드(250)는 로봇(140)의 손목판(242)에 부착하기 위한 제 1 단부(510), 및 포토마스크 기판(300) 또는 보다 일반적으로 정방형 또는 장방형인 기판을 지지하기 위한 제 2 단부(520)를 가진다. 특히, 로봇 블레이드(250)의 제 2 단부(520)는 개구(525)를 형성하는 주변 부분(524), 예를 들어 기판(300)을 x-y 평면의 위치(측면으로)에 유지하기 위한 다수의 돌출 부분을 갖는, x-y 평면의 수평 프레임을 가진다. 도 5a의 실시예는 블레이드(250)에 대해 미리결정된 방향으로 기판(300)을 유지하기 위한 5 개의 돌출 부분(530, 532, 534, 536)을 도시하고 있다. 도 5b에 도시된 바와 같이, 돌출된 부분(530, 538)은 블레이드(250)로부터 상향 연장하며 기판(300)의 두 개의 측면에 접하고 있다. 돌출 부분 및 수평 프레임의 다른 구성 및 디자인이 가능할 수 있으며, 예를 들어 수평 프레임(524)의 두 개의 코너에 배치되는 두 개의 L형 돌출부(도시되지 않음)를 포함한다.
도 5b는 또한 돌출되는 부분(530, 538)에 각각 인접하는 단(530A, 538A)상에 지지되는 기판(300)을 도시하고 있다. 돌출되는 부분(532)(도 5a 참조)에 인접하여 제공되는 다른 단(532a)은 마스크(300)를 위한 지지 기판으로서 작용한다. 주변 부분(524) 둘레의 위치 또는 단의 수와 같은 다른 변경이 수용가능하지만 단은 주변에 기판(300)을 접촉시킬 수 있어야 한다. 일 실시예에서, 로봇 블레이 드(250)는 6 인치 × 6 인치 정방형 및 약 0.25 인치의 두께를 가지는 기판(300)을 지지하기 위해 적합한 단 높이 및 치수를 이용하여 디자인된다. 블레이드 치수가 다른 치수의 포토마스크를 수용하도록 수정될 수 있음을 이해해야 한다.
다른 메커니즘이 상부에 지지되는 기판(300) 및 로봇 블레이드(250)의 부분을 조절하기 위해 이용될 수 있다. 도 5a의 실시예에서, 조절가능 부재, 예를 들어, 세트 스크류(set screw; 540, 542, 544)가 로봇 블레이드(250)의 레벨링 및 높이를 조절하기 위해 제공된다. 도 5b에 도시된 바와 같이, 세트 스크류(540)가 로봇 블레이드(250)의 나사산형 홀 내부에 배치되며 블레이드(250)의 바닥을 통해 돌출하는 하나의 단부를 가지며, 손목판(242)의 최상부 표면에 대해 놓인다. (도 5a의 세트 스크류(542, 544)와 함께) 세트 스크류(540)의 조절은 로봇 블레이드(250)의 레벨링 및 높이를 손목판(242)에 대해 원하는 위치로 설정하게 하며, 그 후 로봇 블레이드(250)는 다수의 볼트를 이용하여 로봇 아암(240)의 손목판(242)에 고정 또는 부착될 수 있으며, 볼트들 중 어느 하나는 볼트(560)로 도시되어 있다. 도 5a에 도시된 바와 같이, 추가의 클리어런스 홀(clearance hole; 591, 592, 593, 594, 595)이 다른 볼트를 수용하기 위해서 손목판(242)상의 대응 나사산형 홀을 구비하여 블레이드(259) 상에 제공된다. 일 실시예에서, 6 개의 볼트가 손목판(242) 상에 블레이드(250)를 고정하기 위해서 이용되지만, 다른 변경(예를 들어 다른 수 및 위치의 볼트)이 수용가능하기도 하다. 바람직하게, 볼트가 블레이드(250)의 (x-방향을 따라) 중앙 길이방향 축선(LL')에 대해 대칭적으로 한 쌍으로 예를 들어, 클리어런스 홀(590, 593); (591, 594); 또는 (592, 595)이 제공된다.
일반적으로, 계량 챔버의 작동 이전에, 로봇 블레이드(250)가 기판(300)상에 수행될 다양한 광학 측정을 위해 필요한 허용오차 범위 내에서 적합한 정렬(높이/거리뿐만 아니라 레벨링)을 제공하도록 조절된다. 예를 들어, 손목판(242)에 대한 블레이드(250)의 높이가 하나 이상의 세트 스크류(540, 542, 544)를 이용하여 조절될 수 있지만, 블레이드(250)의 중앙 길이방향 축선(LL') 둘레의 회전 또는 레벨링이 길이방향 축선(LL')의 대향 측면상에 배치되는 세트 나사(540, 544)를 이용하여 조절될 수 있다.
기판(300)은 주변 부분(524)의 단(예를 들어 530A, 532A, 538A)에 의해 지지된다. 일 실시예에서, 블레이드(250)는 손목판(242)에 대해 최대 약 0.12 인치까지(약 3mm)의 높이로 조절될 수 있으며, 블레이드의 단부는 손목판(242)의 평면에 의해 규정되는 x/y 평면에 대해 최대 약 15°까지의 경사각을 갖는다. 광선 비임에 대한 기판의 레벨링의 목적을 위해서, (예를 들어, 세트 스크류에 의한) 높이 조절 면에서 약 0.005 인치의 정밀도가 대부분의 계량 측정을 위해 충분하다. 로봇 블레이드(250) 및 기판(300)에 대한 높이 및 경사의 조절이 도시된 실시예에서 수동으로 수행되지만, 이는 원하면 CPU(192)를 통해 동력화된 제어를 제공함으로써 자동화될 수도 있다.
도 3의 실시예에서, 계량 챔버(110)의 용융 실리카 윈도우(224)는 계량 도구(180)로부터의 광 비임(182)을 기판(300)상에 지향시킨다. 도 4b에 도시된 바와 같이, 석영 층(310)을 가로지른 후, 입사 광 비임(182)의 일부가 회귀 비임(184)의 일부분으로서 금속 함유 층(320)에 의해 역 반사된다. 입사 비임(182)의 다른 부 분이 기판(300)상의 구조의 다른 부분을 반사, 스캐터, 또는 회절시켜 계량 도구(180)의 광검출기(도시되지 않음)에 의해 탐지되는 회귀 비임(184)의 일부분을 형성한다. 광학 측정의 결과를 기초로 하여, 임계 치수, 에칭 깊이, 상전이 등과 같은 기판 특성이 결정될 수 있다. 특성이 특정 미리 결정된 한계의 범위를 넘어 발견된다면, 마스크는 추가의 처리를 위채 에칭 챔버에 전달될 수 있으며, 공정 조건은 필요하거나 다른 교정적 작용으로서 조절될 수 있다. 시스템 제어기(190)가 복합 챔버 공정 시스템(100)의 다양한 부품 및 계량 도구(180)의 전반적인 작동을 제어 및 조정하는데 이용된다.
도 6은 본 발명의 장치를 이용하여 실행될 수 있는 방법의 단계를 도시하고 있다. 단계(601)에서, 전달 챔버, 공정 챔버, 및 계량 챔버를 포함하는 복합 챔버 시스템이 제공된다. 단계(603)에서, 계량 도구가 계량 챔버에 작동가능하게 연결된다. 정방형 또는 장방형 기판이 공정 챔버에서 처리되며(단계 605), 전달 챔버 내부에 제공되는 로봇을 이용하여 계량 챔버에 전달된다(단계 607). 단계(609)에서, 처리된 기판이 계량 챔버 내부의 미리결정된 위치에서 로봇의 블레이드에 의해 지지되면서, 하나 이상의 광학 측정이 계량 도구를 이용하여 기판상에서 수행된다. 단계(611)에서, 기판 특성이 하나 이상의 광학 측정으로부터 결정된다. 특정 처리 필요성에 따라서, 추가의 방법 단계 또는 변경이 본 명세서에 기재된 장치를 이용하여 공정 모니터링 또는 제어를 위해 실행될 수 있다.
본 발명의 바람직한 실시예가 전술되지만, 본 발명의 여타 다른 실시예가 본 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 본 발명의 범위는 하기의 청 구범위에 의해 결정된다.
본 발명의 전술된 특징들을 보다 잘 이해하기 위해서, 간단하게 전술한 본 발명을 몇몇의 예가 첨부 도면에 도시되어 있는 실시예를 참조하여 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
도 1은 통합된 계량 챔버를 갖는 복합 챔버 공정을 도시하는 개략적인 도면이며,
도 2는 전달 챔버에 연결되는 계량 챔버의 개략적인 사시도이며,
도 3은 도 2의 개량 챔버 내부의 기판을 도시하는 개략적인 단면도이며,
도 4a 및 도 4b는 제조 중의 포토마스크 기판의 구조의 개략적인 횡단면도이며,
도 5a는 본 발명의 일 실시예에 이용하기 위한 로봇 블레이드의 개략적인 도면이며,
도 5b는 도 5a의 로봇 블레이드의 개략적인 부분 단면도이며,
도 6은 본 발명의 장치를 이용하여 실행될 수 있는 방법을 도시한 도면이다.
본 발명의 이해를 용이하게 하기 위해서, 동일한 부품은 가능한 도면에 공통인 도면 부호로 나타내도록 동일한 부호가 이용된다. 일 실시예의 부품은 다른 언급이 없는 한 다른 실시예에서도 유리하게 통합될 수도 있음을 고려해야한다.

Claims (19)

  1. 장치로서,
    전달 챔버, 에칭 챔버 및 계량 챔버를 포함하는 복합 챔버; 및
    상기 에칭 챔버와 상기 계량 챔버 사이에 기판을 전달하도록 구성되며 상기 전달 챔버 내에 배치되는 로봇을 포함하며, 상기 로봇은:
    로봇 아암;
    상기 로봇 아암에 부착되는 제 1 부분을 가지는 판; 및
    상기 판의 제 2 부분에 부착되는 블레이드를 포함하며, 상기 블레이드가 상기 판에 대해 상기 블레이드의 방향을 변경하기 위한 하나 이상의 조절가능 부재, 및 개구를 규정하는 주변 부분을 가지며,
    상기 주변 부분이 상기 주변 부분 위에서 미리 결정된 높이로 상기 기판을 지지하기 위한 지지 부재를 가지는
    장치.
  2. 제 1 항에 있어서,
    상기 하나 이상의 조절가능 부재가 상기 블레이드와 상기 판 사이에 높이 및 각 중 하나 이상을 조절하도록 구성되는
    장치.
  3. 제 2 항에 있어서,
    상기 하나 이상의 조절가능 부재가 상기 블레이드의 중앙 길이방향 축선의 대향 측면상의 나사산형 홀 내에 배치되는 두 개의 세트 스크류를 포함하며, 각각의 상기 두 개의 세트 스크류는 상기 판의 최상부 표면과 접촉하는 단부를 가지는
    장치.
  4. 제 1 항에 있어서,
    상기 블레이드의 상기 주변 부분이 상기 주변 부분에 대해 상기 기판의 측면 위치를 규정하는 돌출 부재를 더 포함하는
    장치.
  5. 제 1 항에 있어서,
    상기 계량 챔버에 작동가능하게 연결되는 계량 도구를 더 포함하는
    장치.
  6. 제 5 항에 있어서,
    상기 계량 도구가 상기 계량 챔버의 바닥 측면으로부터 상기 계량 챔버에 연결되는
    장치.
  7. 제 5 항에 있어서,
    상기 로봇 및 상기 계량 도구와 연결되는 제어기를 더 포함하며,
    상기 제어기가 상기 계량 도구의 작동과 관련하여 미리결정된 위치에서 상기 판에 대해 상기 블레이드를 이동시키기 위한 신호를 제공하도록 구성되는
    장치.
  8. 제 5 항에 있어서,
    상기 블레이드가 상기 계량 도구를 이용하여 상기 기판상에서 측정을 수행하기에 충분히 정밀한 정렬을 이용하여 상기 계량 챔버 내부에서 상기 기판을 지지하도록 구성되는
    장치.
  9. 재 1 항에 있어서,
    상기 계량 챔버가 감소한 압력 조건하에서 작동을 위해 구성되는
    장치.
  10. 기판을 처리하는 방법으로서,
    (a) 전달 챔버, 에칭 챔버 및 계량 챔버를 포함하는 복합 챔버를 제공하는 단계;
    (b) 상기 계량 챔버에 작동가능하게 연결되는 계량 도구를 제공하는 단계;
    (c) 정방형 또는 장방형 중 하나인 상기 기판을 상기 에칭 챔버에서 처리하는 단계;
    (d) 상기 전달 챔버 내부에 제공되는 로봇을 이용하여 상기 계량 챔버에 상기 처리된 기판을 전달하는 단계;
    (e) 상기 로봇의 블레이드상에서 상기 처리된 기판을 지지하면서 상기 계량 챔버 내부의 미리결정된 위치에서 상기 계량 도구를 이용하여 상기 처리된 기판상에서 하나 이상의 광학 측정을 수행하는 단계; 및
    (f) 상기 하나 이상의 광학 측정으로부터 기판 특성을 결정하는 단계를 포함하는
    기판을 처리하는 방법.
  11. 제 10 항에 있어서,
    상기 기판 특성이 임계 치수, 에칭 깊이, 층 두께, 또는 상 전이 중 어느 하나를 포함하는
    기판을 처리하는 방법.
  12. 제 10 항에 있어서,
    (g) (d) 및 (e) 단계에 걸쳐 상기 계량 챔버 및 상기 전달 챔버를 감소한 압력 조건 하에서 유지하는 단계를 더 포함하는
    기판을 처리하는 방법.
  13. 제 10 항에 있어서,
    상기 기판이 실리콘 산화물 함유 층, 금속 함유 층 또는 포토레지스트 층 중 어느 하나를 포함하는
    기판을 처리하는 방법.
  14. 제 13 항에 있어서,
    상기 실리콘 산화물 함유 층이 석영인
    기판을 처리하는 방법.
  15. 제 13 항에 있어서,
    상기 금속 함유 층이 크롬, 크롬 산화물, 크롬 산질화물, 몰리브덴, 몰리브덴 실리사이드, 몰리브덴 텅스텐 실리사이드 중 어느 하나, 또는 이의 조합을 포함하는
    기판을 처리하는 방법.
  16. 제 10 항에 있어서,
    상기 단계 (e)가:
    (e1) 상기 계량 도구로부터 상기 처리된 기판상에 입사 광 비임을 지향하는 단계; 및
    (e2) 상기 처리된 기판으로부터 회귀 광 비임을 지향하는 단계
    를 더 포함하는
    기판을 처리하는 방법.
  17. 제 16 항에 있어서,
    단계(e) 이전에 상기 입사 비임이 상기 기판상의 테스트 패턴에 지향되며 상기 회귀 광 비임이 상기 계량 도구에 의해 지향되도록 상기 기판의 방향을 조절하는 단계를 더 포함하는
    기판을 처리하는 방법.
  18. 제 10 항에 있어서,
    (g) 상기 복합 챔버 시스템 및 상기 계량 도구와 연결되는 제어기를 제공하는 단계; 및
    (f) 상기 광학 측정으로부터 획득된 정보에 응답하여 상기 제어기로부터 상기 복합 챔버 시스템에 명령들을 보내는 단계를 더 포함하는
    기판을 처리하는 방법.
  19. 제 10 항에 있어서,
    (g) 단계 (f)에서 결정된 상기 기판 특성을 참조값으로 비교하는 단계; 및
    (h) 단계 (g)를 기초로 하는 단계로서,
    (h1) 추가의 처리를 위해 상기 에칭 챔버에 상기 처리된 기판을 전달하는 단계; 또는
    (h2) 다른 기판을 처리하기 이전에 상기 에칭 챔버 내의 하나 이상의 공정 조건을 변경하는 단계 중 하나를 수행하는 단계를 더 포함하는
    기판을 처리하는 단계.
KR1020070118372A 2006-11-21 2007-11-20 에칭 처리에서 계측을 함께 실시하기 위한 방법 및 장치 KR101188385B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/561,995 US7601272B2 (en) 2005-01-08 2006-11-21 Method and apparatus for integrating metrology with etch processing
US11/561,995 2006-11-21

Publications (2)

Publication Number Publication Date
KR20080046107A true KR20080046107A (ko) 2008-05-26
KR101188385B1 KR101188385B1 (ko) 2012-10-08

Family

ID=39402905

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070118372A KR101188385B1 (ko) 2006-11-21 2007-11-20 에칭 처리에서 계측을 함께 실시하기 위한 방법 및 장치

Country Status (8)

Country Link
US (1) US7601272B2 (ko)
EP (1) EP1939931B1 (ko)
JP (1) JP2008147645A (ko)
KR (1) KR101188385B1 (ko)
CN (2) CN101188192A (ko)
AT (1) ATE455369T1 (ko)
DE (1) DE602007004290D1 (ko)
TW (1) TWI387039B (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9257292B2 (en) 2011-03-30 2016-02-09 Tokyo Electron Limited Etch system and method for single substrate processing
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
US9449785B2 (en) * 2013-11-11 2016-09-20 Howard Hughes Medical Institute Workpiece transport and positioning apparatus
CN105097984A (zh) * 2014-05-12 2015-11-25 上海理想万里晖薄膜设备有限公司 一种硅基异质结太阳能电池钝化层前期处理方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
CN107444906A (zh) * 2017-09-13 2017-12-08 贵州香雪海冷链有限公司 一种冷柜箱体自动抽真空的生产方法
CN108364888A (zh) * 2018-02-11 2018-08-03 武汉华星光电半导体显示技术有限公司 检测设备
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
US11309404B2 (en) 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
KR20210041654A (ko) 2019-10-07 2021-04-16 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
KR20210116240A (ko) * 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
JP2022076059A (ja) * 2020-11-09 2022-05-19 日本電産サンキョー株式会社 産業用ロボット
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5891352A (en) 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
WO1998001760A2 (en) 1996-07-05 1998-01-15 Beckman Coulter, Inc. Automated sample processing system
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH10338347A (ja) * 1997-06-11 1998-12-22 Kokusai Electric Co Ltd 基板搬送装置
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6129807A (en) 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6124212A (en) 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
JP3090139B1 (ja) 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
WO2000058188A1 (en) 1999-03-25 2000-10-05 N & K Technology, Inc. Wafer handling robot having x-y stage for wafer handling and positioning
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6368975B1 (en) 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6413867B1 (en) 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
JP3974319B2 (ja) 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
JP4942129B2 (ja) * 2000-04-07 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド GaAsウエハ用のウエハ方向センサー
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6411389B1 (en) 2000-05-03 2002-06-25 The Regents Of The University Of Claifornia Optical monitor for real time thickness change measurements via lateral-translation induced phase-stepping interferometry
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
JP4467761B2 (ja) * 2000-11-07 2010-05-26 株式会社アルバック 基板の処理装置及び基板の処理方法
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20020147960A1 (en) 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6653231B2 (en) 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6525829B1 (en) 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity
US6479309B1 (en) 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US20030000922A1 (en) 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030092281A1 (en) 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4197103B2 (ja) 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6762130B2 (en) 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
US6825487B2 (en) 2002-07-30 2004-11-30 Seh America, Inc. Method for isolation of wafer support-related crystal defects
JP4584531B2 (ja) * 2002-08-02 2010-11-24 株式会社日立製作所 異物モニタリングシステム
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
JP2004165365A (ja) * 2002-11-12 2004-06-10 Tokyo Electron Ltd 基板処理装置及び方法
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US20040200574A1 (en) 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
KR100568867B1 (ko) 2004-03-18 2006-04-10 삼성전자주식회사 웨이퍼 좌표감지장치 및 그 웨이퍼 좌표감지 기능을 갖는반도체 제조설비
US7076320B1 (en) 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates

Also Published As

Publication number Publication date
EP1939931B1 (en) 2010-01-13
US20070097383A1 (en) 2007-05-03
EP1939931A3 (en) 2008-11-05
CN103745912A (zh) 2014-04-23
ATE455369T1 (de) 2010-01-15
CN103745912B (zh) 2018-09-21
CN101188192A (zh) 2008-05-28
TWI387039B (zh) 2013-02-21
JP2008147645A (ja) 2008-06-26
US7601272B2 (en) 2009-10-13
TW200832594A (en) 2008-08-01
EP1939931A2 (en) 2008-07-02
DE602007004290D1 (de) 2010-03-04
KR101188385B1 (ko) 2012-10-08

Similar Documents

Publication Publication Date Title
KR101188385B1 (ko) 에칭 처리에서 계측을 함께 실시하기 위한 방법 및 장치
US7846848B2 (en) Cluster tool with integrated metrology chamber for transparent substrates
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
KR101433391B1 (ko) 기판의 처리방법, 컴퓨터 기억매체 및 기판처리 시스템
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US7250309B2 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6924088B2 (en) Method and system for realtime CD microloading control
EP1405338A2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
CN101055422B (zh) 用于透明基材的整合式测量室
JP4808676B2 (ja) 露光装置、露光方法、及び表示用パネル基板の製造方法
US20120045721A1 (en) Method for forming a self-aligned double pattern
JP2010003905A (ja) 基板処理装置および基板処理システム
KR20230038922A (ko) 기판 처리 장치 및 기판 처리 방법
KR20230100172A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B90T Transfer of trial file for re-examination
B601 Maintenance of original decision after re-examination before a trial
S901 Examination by remand of revocation
E902 Notification of reason for refusal
AMND Amendment
S601 Decision to reject again after remand of revocation
J201 Request for trial against refusal decision
AMND Amendment
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee