CN103745912B - 用于在蚀刻处理中集成计量的方法和装置 - Google Patents

用于在蚀刻处理中集成计量的方法和装置 Download PDF

Info

Publication number
CN103745912B
CN103745912B CN201310676027.8A CN201310676027A CN103745912B CN 103745912 B CN103745912 B CN 103745912B CN 201310676027 A CN201310676027 A CN 201310676027A CN 103745912 B CN103745912 B CN 103745912B
Authority
CN
China
Prior art keywords
substrate
chamber
blade
measurement chamber
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310676027.8A
Other languages
English (en)
Other versions
CN103745912A (zh
Inventor
吉姆·K·尼古恩
理查德·莱温顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103745912A publication Critical patent/CN103745912A/zh
Application granted granted Critical
Publication of CN103745912B publication Critical patent/CN103745912B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明公开了一种用于在蚀刻处理中集成计量的方法和装置。本发明的装置包括具有传送腔室、蚀刻腔室和计量腔室的多腔室系统,以及配置为可在所述蚀刻腔室和所述计量腔室之间传送衬底的机械手。本发明还公开了一种使用该装置处理衬底和执行计量测量的方法。本发明的方法和装置可用于在衬底处理过程中,更为便利地测量和监控衬底的多种特性,由此可极大地提高衬底处理的精确度。

Description

用于在蚀刻处理中集成计量的方法和装置
本申请是2007年11月20日递交的申请号为200710187716.7的发明专利申请的分案申请。
技术领域
本发明涉及一种在多腔室工艺系统中集成计量工具的方法和装置。更具体地,本发明涉及一种用于为蚀刻工艺监控所用的集成计量测量的方法和装置。
背景技术
微电子器件的制造通常包含有需要在半导电的、电介质和导电的衬底上执行数百个独立步骤的复杂工艺顺序。这些工艺步骤的实例包括氧化、扩散、离子注入、薄膜沉积、净化、蚀刻和光刻。使用光刻和蚀刻(通常被称作图案转移步骤),所需的图案首先被转移至诸如光刻胶的光敏材料层,并随后在后续蚀刻期间被转移至光敏材料层下面的材料层。在光刻步骤中,覆盖的光刻胶层通过包含图案的掩模版或光掩模暴露于辐射源,使图案的图像形成在光刻胶中。通过在适宜的化学溶液中显影光刻胶,部分光刻胶被去除,由此形成被构图的光刻胶层。使用该光刻胶图案作为掩模,将该掩模下面的材料层暴露于反应性环境中,例如利用湿刻或干刻,使得图案转移至所述掩模下面的材料层。
光掩模上的图案,一般形成于支撑在玻璃或石英衬底上的含金属层中,也可由经过光刻胶图案蚀刻而产生。然而,在这种情形下,与通过掩模版暴露光刻胶的做法不同,光刻胶图案是通过直接写入技术,例如,使用电子束或其他适合的辐射束来产生的。采用构图的光刻胶作为掩模,使用等离子体蚀刻将该图案转移至所述光刻胶掩模下面的含金属层。可以市售购买的适合在高级器件制造中使用的光掩模蚀刻设备的示例是TetraTM光掩模蚀刻系统,它可从Santa Clara,California(加利福尼亚的圣克拉拉)的Applied Materials,Inc.(应用材料有限公司)购得。
随着器件尺寸的不断减小,用于现代技术的光掩模的设计和制造变得越来越复杂,并且临界尺寸和工艺均匀性的控制变得越来越重要。因此,在光掩模制造中,需要不断地改进对于工艺的监控和控制。
发明内容
本发明的一方面涉及一种包含一具有传送腔室、蚀刻腔室和计量腔室的多腔室系统。在传送腔室中设置有机械手并用于在蚀刻腔室和计量腔室之间传送衬底。机械手包括附接到机械臂的板和附接到该板的叶片。该叶片具有至少一个可调构件,用于改变叶片相对于板的位置,和限定开口的外围部分。外围部分具有用于将衬底支撑在外围部分上方预定高度的支撑构件。
本发明的另一方面涉及一种处理衬底的方法。该方法包括提供包含传送腔室、蚀刻腔室、计量腔室和可操作地连接至该计量腔室的计量工具的多腔室系统。使用设置在传送腔室内的机械手将经处理的衬底传送至计量腔室。使用计量工具在经处理的衬底上执行至少一个光学测量,同时将所处理的衬底在计量腔室内的预定位置支撑在机械手的叶片上。
附图说明
参照附图中所示的实施方式可对以上简要概述的本发明进行更具体的描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以涉及其他等同的诸多有效实施例。
图1是示出具有集成的计量腔室的多腔室工艺系统的示意图;
图2是连接至传送腔室的计量腔室的透视示意图;
图3是示出图2的计量腔室内的衬底的剖视示意图;
图4A-4B是在制造过程中,光掩模衬底结构的横截面示意视图;
图5A是适用于本发明的一个实施方式的机械手叶片的示意说明;
图5B是图5A的机械手叶片的局部剖视示意图;和
图6说明可使用本发明装置执行的方法。
为了便于理解,尽可能使用相同标记表示附图中共同的相同元件。还可预期一个实施方式的元件可有利地结合在其他实施方式中而不进一步叙述。
具体实施方式
本发明涉及一种用于将计量工具与多腔室工艺系统(或集束设备)集成的方法和装置。通过在集束设备中提供计量能力,可明显地便于对工艺的监控和控制。图1是示出具有围绕传送腔室105设置的多个工艺腔室102、104、106和110的多腔室工艺系统或集束设备100。传送腔室105连接至用于提供降压条件的真空系统(未示出)。
根据本发明的一个实施方式,工艺腔室110其中之一是计量腔室,并且另一工艺腔室104是蚀刻腔室。传送腔室105容纳机械手140,机械手140用于将衬底于腔室102、104、106和110来回传送。计量腔室110可操作地连接至计量工具180,该计量工具在计量腔室110内的衬底上执行测量。例如,计量工具180可用于在蚀刻腔室104中已经过处理的衬底上执行光学测量。从该光学测量中提取关于衬底特征的信息,并由此可以决定是否需要对衬底进行进一步的处理,或决定是否应当调节蚀刻腔室中的工艺条件。虽然与蚀刻腔室104的计量集成是作为整个讨论的实施例,但可以理解同样可与对其他工艺腔室的工艺监控和控制集成,例如,计量测量也可以与在腔室104中的蚀刻之前用于在衬底上沉积材料的沉积腔室集成。沉积腔室可以是系统100的一部分,或单独的处理系统。
系统控制器190连接并控制多腔室系统100中的每个腔室或模块。通常,系统控制器190可以利用对系统100的各个腔室和装置的直接控制来控制系统100操作的所有方面,或者,也可以通过与这些腔室和装置相关联的计算机来控制系统100操作的所有方面。而且,控制器190还将建立和与计量工具180相关联的控制单元之间的通信联系。例如,通过系统控制器190来控制如机械手140的运动,将衬底在工艺腔室102、104和06和计量腔室110中来回传送,执行工艺顺序,协调计量工具180与多腔室系统100的不同部件的操作等等的各项操作。
在操作中,系统控制器190激活来自各个腔室和装置的反馈,从而优化衬底产量。系统控制器190包括中央处理单元(CPU)192、存储器194和辅助电路196。CPU192可以是一种可在工业配套设备中使用的任意形式的多用途计算机处理器。辅助电路196常规地耦合至CPU192并可包括缓存器、时钟电路、输入/输出系统、电源等。软件程序,当通过CPU293执行时,将CPU转变成特定用途计算机(控制器)190。软件程序还可通过距离系统100远程设置的第二控制器(未示出)存储和/或执行。
图2是耦合至计量腔室110的传送腔室105的透视示意图。计量腔室110包括腔体210和盖子212。观察口或窗口214设置在盖子212上以允许光进入计量腔室110。盖子212中设置有具O型环的凹槽(如图3所示的凹槽302)。窗口214通过法兰216固定于盖子212上,并且O型环提供窗口214和盖子212之间的真空密封。如图2所示的机械手140是双叶片机械手的示例,具有两个机械臂240,如图所示,其中一个机械臂具有附接到肘板242的机械手叶片250。根据本发明的一个实施方式,机械手叶片250适于在不同腔室中来回传送衬底,并还适于为执行测量在计量腔室110内支撑衬底。
在衬底光测量期间,计量腔室110和传送腔室105可维持在普通的减压条件下。在一个实施方式中,腔室可维持在约200毫托的压力下。也可采用其他压力,例如,低于约1托,更低的压力极限约10毫托,如通过用于腔室抽空的真空泵所确定的。部件(未示出),诸如门或栅阀,可设置在传送腔室105和计量腔室110之间以便视需要可使腔室彼此隔离。例如,当衬底在任意的工艺腔室102、104或106中来回传送时,尤其是如果腔室压力条件明显不同于其他不同腔室时,可能优选地将计量腔室110与传送腔室隔离。
图3是计量腔室110的剖视示意图,示出了机械手叶片250和衬底300相对于计量工具180的相对位置。观察口或窗户224设置在计量腔体210的底部用于使光进入。由熔融硅石或其他适合材料形成的窗口224通过法兰226附接到腔体210的外表面。与顶窗口214相似,窗口224和腔室表面之间的真空密封通过使用设置在腔体210的表面上的凹槽内的O型环或其他适合的密封材料来实现。
在一个示例性实施方式中,计量工具180用于在蚀刻腔室104内已经过处理的光掩模衬底300上的光测量。适宜的计量工具的一个实施例是N&K光掩模工具,该光掩模工具可执行诸如反射率和/或透射率等的测量。来自其他制造商的计量工具也可适合结合本发明的多腔室系统使用。虽然计量测量和分析的详细内容取决于采用的特定计量工具,该方法一般如以下所述执行。
来自计量工具180的入射光束182通过底部窗口224引入计量腔室110内,并聚焦到衬底300上(如果合适的话)。典型地,将一个或多个测试图案,例如,包括可能或可能不是周期性的线路/空间结构,提供在衬底的不同位置。优选地,每个测试图案具有比入射光束尺寸大的尺寸,并且还应足够大以考虑来自机械手的定位误差。入射光束182的点尺寸视特定的应用和测量类型而不同。例如,对于石英蚀刻应用,可采用具有约1mm直径的光束尺寸执行隧道深度测量。对于采用1mm光束点尺寸的测量,衬底300约0.25的定位重复精度将是足够的。该光束可以入射到测试图案上或具有至少约5%的特征覆盖率(即,不是覆盖的金属层或石英层)的衬底300的区域上。对于横向或水平方向上的临界尺寸(CD)的测量,可使用较小尺寸例如,约50μm的光束。而且,由于CD测量通常使用干涉测量方法进行,需要至少约入射光束尺寸一样大尺寸的测试图案。较大的测试图案将便于对准和定位程序,并且减小对于机械手定位所需的精确度。例如,适宜的测试图案可以是从约200μm到约5mm的线性尺寸。
在衬底300由机械手叶片250支撑并定位在计量腔室110内的预定位置的情形下,入射光束182引导至衬底300的适宜区域,例如,引至测试图案上。返回光束184,例如,由入射光束182和测试图案结构之间相互作用产生,通过计量工具180中的光检测器检测。返回光束184可能来源于光束反射、折射、散射、干涉或它们的组合,并且所检测的信号的特点将随着特定的膜结构和测试图案而变化。
结果为一般通过软件,例如一种与计量工具相关的软件来分析,以获得关于衬底特征,诸如临界尺寸、层厚度、蚀刻深度、相移等的信息。在特定的情形下,在衬底300的一个位置执行的一个或多个测量可满足工艺监控目的要求。可选地,衬底300可通过机械手140移动到其他预定位置以使得在衬底300的不同位置执行额外的测量。这些额外的测量,例如,可提供关于工艺均匀性的信息。基于这些结果,可根据任何工艺控制或参数调整而做出决定。例如,可将测得的衬底特征或工艺结果如中心到边缘均匀性(沿x/y方向)与参考值相比较来决定它是否在可接受极限内,以及衬底是否应当返回至蚀刻腔室用于进一步处理。可选地,如果该结果不满意,还可在另一衬底处理之前改变蚀刻腔室中的一个或多个工艺条件。
虽然计量工具180的入射光束显示为通过底部窗口224耦合至计量腔室110中,从而提供从衬底300的背面的测量,还可将光束182通过顶部窗口214在衬底300的顶部上入射用于其他测量。另外,还可采用透射模式来进行测量即,监测通过部分衬底300透射的光,以替代如图3所示的反射模式的操作。用于透射模式的光源可以是任一环境光,来自计量工具180或其他光源的光束182。
计量工具180一般还具有控制单元186,用于控制工具的操作,例如执行计量测量、获取存储数据,分析结果等等。该控制单元186可配置为与系统控制器190进行通信,以允许在可调方式下执行不同的操作。
图4A-4B是制造期间光掩模衬底的结构的横截面视图。光掩模衬底300包括含氧化硅层310、含金属层320和构图的光刻胶层330。含氧化硅层310通常是玻璃或石英(熔融硅石)板,所述含氧化硅层310可透过来自不同光刻工具的UV发射波长的光。含金属层320一般可以是含铬或含钼层,或它可包括适合用于光掩模的其他金属。可用于含金属层320的材料的示例包括铬、氧化铬、铬氧氮化物、钼、钼硅化物、钼钨硅化物等和所述这些物质的组合。抗反射层(ARC)325通常提供在含金属层320和光刻胶层330之间以改善光刻工艺。光刻胶层330可包括适合与本领域技术人员公知的不同光刻技术或设备使用的多种光刻胶材料。含金属层330通常可具有从约到约的厚度,ARC层可具有从约到约的厚度,同时光刻胶层的厚度可以是从约到约的范围。虽然含金属层320表示为如图4A的单层,一般地,取决于制造的特定的掩模,还可具有不同材料的多膜或多层。
通过将图4A的结构暴露于蚀刻腔室104内的反应性环境,光刻胶层330的图案转移至光刻胶层下面的ARC层325和含金属层320。例如,诸如含氯气体(例如,Cl2)或含氟气体(例如,SF6或CF4)的蚀刻气体,诸如氧气的氧化气体和诸如氦的惰性气体的等离子体都可用于蚀刻含金属层320。适宜的终点检测方案可用于监控含金属层320的蚀刻。取决于特定的应用,一旦下方的含氧化硅层310暴露时,就停止蚀刻工艺,如图4B所示,或者可执行蚀刻到含氧化硅层310中的特定预定深度,如可能特定类型的相移掩模所要求的。
虽然蚀刻工艺的监控和控制可在光掩模衬底300的蚀刻期间使用原位终点检测来进行,但集成计量腔室中的非原位测量提供可能不易于与蚀刻环境结合的更大测量能力。对于非原位测量,机械手叶片250传输来自蚀刻腔室104的衬底300,以及支撑并适当地将衬底300放置在计量腔室110内。机械手叶片250设计为允许相对于计量工具180定位衬底300,更具体地,具有足够的精度以使得入射光束182和衬底300上的测试图案对齐从而在衬底300由机械手叶片250支撑时可执行计量测量。例如,除衬底300的横向定位外,机械手140还配置为经由一个或多个可调构件提供机械手叶片250的高度和/或水准调整,以及掩模衬底300的高度和/或水准调整。
图5A是适用于本发明的实施的机械手叶片250的一个实施方式的示意图,以及图5B是说明由机械手叶片250支撑的衬底300的部分剖面图(以虚线5B-5B所示)。机械手叶片250具有附接到机械手140的肘板242的第一端510,以及支撑光掩模衬底300,或更具体地,支撑具有正方形或矩形形状的衬底的第二端520。特别地,机械手叶片250的第二端520具有一限定开口525的外围部分524,例如x-y平面的水平框架,以及用于在x-y平面保持衬底300在适当位置(横向)的多个突出部分。图5A的实施方式示出用于将衬底300相对于叶片250保持在预定方向的五个突出部分530、532、534、536和538。如图5B所示,突出部分530和538从叶片250向上延伸并包围衬底300的两侧。水平框架和突出部分的其他配置或设计也是可以的,包括,例如,设置在水平框架524的两个中心处的两个L型突出部分(未示出)。
图5B还示出分别与突出部分530和538相邻的台阶530A和538A支撑的衬底300。与突出部分532(参见图5A)相邻设置的另一台阶532a同样作为掩模300的支撑表面。围绕外围部分524的诸如多个台阶或位置的其他变型是可以的,虽然该台阶应当在衬底外围接触该衬底300。在一个实施方式中,机械手叶片250设计具有适合于支撑6英寸乘6英寸面积和约0.25英寸厚的衬底300的台阶高度和尺寸。应当理解可以修改叶片尺寸来容纳其他尺寸的光掩模。
不同的机构可用于调节机械手叶片250和支撑于机械手叶片250上的衬底300的位置。在图5A的示例性实施方式中,可调构件,例如,固定螺丝540、542和544提供用于调节机械手叶片250的高度和水准。如图5B所示,固定螺丝540设置在机械手叶片250的螺纹孔中且具有穿过叶片250的底部突出的一端并搁在肘板242的顶表面上。固定螺丝540的调节(以及图5A的固定螺丝543、544)允许相对于肘板242将机械手叶片250的高度和水准设定为预定位置,其后,可使用多个螺栓(其中之一表示为螺栓560)将机械手叶片250固定或附接至机械臂240的肘板242。螺栓560配合穿过叶片250的第一端510上的栓孔590,并固定于肘板242上的螺纹孔中。如图5A所示,额外的栓孔591、592、593、594和595设置在叶片250上,并且在肘板242上具有对应的螺丝孔以容纳其他螺栓。在一个实施方式中,使用六个螺栓将叶片250固定在肘板242上,虽然其他变型(例如,螺栓的不同数量和位置)也是可以的。优选地,在相对于叶片250的中心纵轴LL’(沿着x方向)对称设置一对螺栓,例如,在栓孔590和593处;591和594处;或592和595处。
一般地,在计量腔室的操作之前,调节机械手叶片250以提供用于在衬底300上执行不同的光测量所需的公差范围内的适当调整(高度/距离和水准)。例如,可使用一个或多个固定螺丝540、542和544调节叶片250相对于肘板242的高度,同时可使用固定螺丝540或544围绕叶片250的中心纵轴LL’的旋转或水准,所述固定螺丝设置在纵轴LL’的相对侧面。
衬底300由外围部分524的台阶(例如,530A、532A和538A)支撑。在一个实施方式中,可调整叶片250相对于肘板242的高度上达约0.12英寸(约3mm),以及调整叶片的端部相对于由肘板242的平面所限定的x/y平面倾斜角上达约15度。为相对于光束调平衬底的目的,对于大部分计量测量来讲,高度调整约0.005英寸的精度是足够的。虽然在所示的实施方式中机械手叶片250和衬底300的高度和倾斜的调整是人工执行,视需要它们也可通过经由CPU192提供电动控制自动进行。
在图3所示的实施例中,计量腔室110的熔融硅石窗口224允许将来自计量工具180的光束182引导至衬底300上。如图4B所示,部分入射光束182,在穿过石英层310之后,作为部分返回光束184被含金属层320反射回去。其他部分入射光束182可在衬底300的结构的其他部分上反射、散射或衍射,形成部分返回光束184,该返回光束184被计量工具180的光检测器(未示出)检测。基于光测量的结果,可以确定诸如临界尺寸、蚀刻深度、相移等等的衬底特征。如果发现这些特征在特定的预定极限之外,则掩模可转移至蚀刻腔室用于附加的处理,工艺条件可随着需要或采取的其他矫正措施而调整。系统控制器190用于控制计量工具180的全部操作和多腔室工艺系统100的不同部件的控制和调节。
图6示出了可使用本发明的装置实施的方法的步骤。步骤601中,提供包括传送腔室、工艺腔室和计量腔室的多腔室系统。在步骤603,将计量工具可操作地连接至计量腔室。在工艺腔室中处理正方形或矩形形状的衬底(步骤605)之后,使用设置在传送腔室中的机械手将所述衬底传输至计量腔室(步骤607)。在步骤609,在通过机械手的叶片将所处理的衬底支撑在处理腔室内的预定位置的同时,使用计量工具在衬底上执行至少一个光测量。在步骤611,由至少一个光测量确定衬底特征。根据特定的处理需要,可使用本文所述的装置实施用于工艺监控或控制的额外的方法步骤或变型。
虽然前述针对本发明的优选实施方式,但在不偏离本发明的基本范围下可设计本发明的其他以及进一步实施方式,并且本发明的范围由以下权利要求书所确定。

Claims (16)

1.一种衬底处理装置,包括:
包括传送腔室、蚀刻腔室和计量腔室的多腔室系统,其中门或栅阀设置在所述传送腔室和所述计量腔室之间;和
机械手,设置在所述传送腔室中并配置为在所述蚀刻腔室和所述计量腔室之间传送衬底;所述机械手包括:
机械臂;
具有附接到所述机械臂的第一部分的板;以及
附接到所述板的第二部分的叶片,所述叶片具有至少一个可调构件,用于改变所述叶片相对于所述板的位置,和限定开口的外围部分,该外围部分具有用于将衬底支撑在该外围部分上方的预定高度的支撑构件,其中所述至少一个可调构件配置为调整所述叶片和所述板之间的高度和角度的至少其中之一,其中所述至少一个可调构件包括设置在所述叶片的中心纵轴的相对侧上的螺丝孔中的两个固定螺丝,所述两个固定螺丝中的每一个都具有与所述板的顶表面接触的端部,以及其中所述叶片的外围部分进一步包括限定衬底的相对于所述外围部分的横向位置的多个突出构件,其中所述突出构件从所述叶片向上延伸并包围所述衬底的至少两侧。
2.根据权利要求1所述的装置,进一步包括可操作地连接至所述计量腔室的计量工具。
3.根据权利要求2所述的装置,其中所述计量工具从所述计量腔室的底侧连接至所述计量腔室。
4.根据权利要求2所述的装置,进一步包括:
与所述机械手和所述计量工具通信连接的控制器,其中所述控制器配置为提供信号用于结合所述计量工具的操作使所述叶片相对于所述板移动至预定位置。
5.根据权利要求2所述的装置,其中所述叶片配置为用于在所述计量腔室内在充分精确对准情形下支撑衬底,用于使用所述计量工具执行衬底上的测量。
6.根据权利要求1所述的装置,其中所述计量腔室配置为在减压条件下操作。
7.根据权利要求1所述的装置,其中所述固定螺丝的端部突出通过所述叶片的底部以与所述板的顶表面接触。
8.一种处理衬底的方法,包括:
(a)提供包括传送腔室、刻蚀腔室和计量腔室的多腔室系统,其中门或栅阀设置在所述传送腔室和所述计量腔室之间,在所述传送腔室中设置机械手,所述机械手配置为在所述刻蚀腔室和所述计量腔室之间传送衬底;该机械手包括:机械臂;具有附接到所述机械臂的第一部分的板;以及附接到所述板的第二部分的叶片,该叶片具有至少一个可调构件,用于改变所述叶片相对于所述板的位置,和限定开口的外围部分,该外围部分具有用于将衬底支撑在该外围部分上方的预定高度的支撑构件,其中所述至少一个可调构件配置为调整所述叶片和所述板之间的高度和角度的至少其中之一,其中所述至少一个可调构件包括设置在所述叶片的中心纵轴的相对侧上的螺丝孔中的两个固定螺丝,所述两个固定螺丝中的每一个都具有与所述板的顶表面接触的端部,以及其中所述叶片的外围部分进一步包括限定衬底的相对于所述外围部分的横向位置的多个突出构件,其中所述突出构件从所述叶片向上延伸并包围所述衬底的至少两侧;
(b)提供可操作地连接至所述计量腔室的计量工具;
(c)在所述刻蚀腔室中处理衬底,所述衬底为正方形或矩形形状之一;
(d)使用在所述传送腔室内提供的机械手将经处理的衬底传送至所述计量腔室;
(e)在所述计量腔室内的预定位置,将经处理的衬底支撑在所述机械手的叶片的外围部分上的同时,使用可操作地连接至所述计量腔室的所述计量工具在经处理的衬底上执行至少一个光测量;以及
(f)从所述至少一个光测量确定衬底特征,其中所述衬底特征包括临界尺寸、刻蚀深度、层厚度或相移其中之一。
9.根据权利要求8所述的方法,进一步包括:
(g)在整个步骤(d)和(e)中维持所述计量腔室和所述传送腔室在减压条件下。
10.根据权利要求8所述的方法,其中所述衬底包括含氧化硅层、含金属层或光刻胶层之一。
11.根据权利要求10所述的方法,其中所述含氧化硅层是石英。
12.根据权利要求10所述的方法,其中所述含金属层包括铬、氧化铬、铬氧氮化物、钼、钼硅化物、钼钨硅化物之一或它们的组合。
13.根据权利要求8所述的方法,其中所述步骤(e)进一步包括:
(e1)将来自所述计量工具的入射光束引导至经处理的衬底上;以及
(e2)检测来自经处理的衬底的返回光束。
14.根据权利要求13所述的方法,进一步包括:在步骤(e)之前,调整所述衬底的方向以使得所述入射光束引导至所述衬底上的测试图案以及所述返回光束通过所述计量工具检测。
15.根据权利要求8所述的方法,进一步包括:
(g)提供与所述多腔室系统和所述计量工具通信连接的控制器;
(h)响应于从所述光测量获得的信息将来自所述控制器的指令发送给所述多腔室系统。
16.根据权利要求8所述的方法,其特征在于,进一步包括:
(g)将步骤(f)中所确定的所述衬底特征与参考值相比较;以及
(h)基于来自步骤(g)的结果,执行以下步骤其中之一:
(h1)将经处理的衬底传送至所述刻蚀腔室用于额外处理;或者
(h2)在处理另外的衬底之前改变所述刻蚀腔室中的至少一个工艺条件。
CN201310676027.8A 2006-11-21 2007-11-20 用于在蚀刻处理中集成计量的方法和装置 Active CN103745912B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/561,995 US7601272B2 (en) 2005-01-08 2006-11-21 Method and apparatus for integrating metrology with etch processing
US11/561,995 2006-11-21
CNA2007101877167A CN101188192A (zh) 2006-11-21 2007-11-20 用于在刻蚀处理中集成计量的方法和装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101877167A Division CN101188192A (zh) 2006-11-21 2007-11-20 用于在刻蚀处理中集成计量的方法和装置

Publications (2)

Publication Number Publication Date
CN103745912A CN103745912A (zh) 2014-04-23
CN103745912B true CN103745912B (zh) 2018-09-21

Family

ID=39402905

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2007101877167A Pending CN101188192A (zh) 2006-11-21 2007-11-20 用于在刻蚀处理中集成计量的方法和装置
CN201310676027.8A Active CN103745912B (zh) 2006-11-21 2007-11-20 用于在蚀刻处理中集成计量的方法和装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2007101877167A Pending CN101188192A (zh) 2006-11-21 2007-11-20 用于在刻蚀处理中集成计量的方法和装置

Country Status (8)

Country Link
US (1) US7601272B2 (zh)
EP (1) EP1939931B1 (zh)
JP (1) JP2008147645A (zh)
KR (1) KR101188385B1 (zh)
CN (2) CN101188192A (zh)
AT (1) ATE455369T1 (zh)
DE (1) DE602007004290D1 (zh)
TW (1) TWI387039B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9257292B2 (en) * 2011-03-30 2016-02-09 Tokyo Electron Limited Etch system and method for single substrate processing
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
US9449785B2 (en) * 2013-11-11 2016-09-20 Howard Hughes Medical Institute Workpiece transport and positioning apparatus
CN105097984A (zh) * 2014-05-12 2015-11-25 上海理想万里晖薄膜设备有限公司 一种硅基异质结太阳能电池钝化层前期处理方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
CN107444906A (zh) * 2017-09-13 2017-12-08 贵州香雪海冷链有限公司 一种冷柜箱体自动抽真空的生产方法
CN108364888A (zh) * 2018-02-11 2018-08-03 武汉华星光电半导体显示技术有限公司 检测设备
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
US11309404B2 (en) 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
KR20210041654A (ko) 2019-10-07 2021-04-16 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
KR20210116240A (ko) * 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
JP2022076059A (ja) * 2020-11-09 2022-05-19 日本電産サンキョー株式会社 産業用ロボット
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313596B1 (en) * 1999-07-07 2001-11-06 Applied Materials, Inc. Detection system for substrate clamp
CN1609711A (zh) * 2003-10-21 2005-04-27 应用材料有限公司 控制蚀刻工序的精确度和再现性的方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5891352A (en) * 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
AU3651497A (en) * 1996-07-05 1998-02-02 Beckman Coulter, Inc. Automated sample processing system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH10338347A (ja) * 1997-06-11 1998-12-22 Kokusai Electric Co Ltd 基板搬送装置
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
WO2000058188A1 (en) * 1999-03-25 2000-10-05 N & K Technology, Inc. Wafer handling robot having x-y stage for wafer handling and positioning
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
KR20020087481A (ko) * 2000-04-07 2002-11-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 갈륨비소 웨이퍼용 웨이퍼 배향 센서
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6411389B1 (en) * 2000-05-03 2002-06-25 The Regents Of The University Of Claifornia Optical monitor for real time thickness change measurements via lateral-translation induced phase-stepping interferometry
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
JP4467761B2 (ja) * 2000-11-07 2010-05-26 株式会社アルバック 基板の処理装置及び基板の処理方法
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20020147960A1 (en) * 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6653231B2 (en) * 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity
US6479309B1 (en) * 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4197103B2 (ja) 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6762130B2 (en) * 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
US6825487B2 (en) * 2002-07-30 2004-11-30 Seh America, Inc. Method for isolation of wafer support-related crystal defects
JP4584531B2 (ja) * 2002-08-02 2010-11-24 株式会社日立製作所 異物モニタリングシステム
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
JP2004165365A (ja) * 2002-11-12 2004-06-10 Tokyo Electron Ltd 基板処理装置及び方法
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
KR100568867B1 (ko) * 2004-03-18 2006-04-10 삼성전자주식회사 웨이퍼 좌표감지장치 및 그 웨이퍼 좌표감지 기능을 갖는반도체 제조설비
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US6961626B1 (en) * 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313596B1 (en) * 1999-07-07 2001-11-06 Applied Materials, Inc. Detection system for substrate clamp
CN1609711A (zh) * 2003-10-21 2005-04-27 应用材料有限公司 控制蚀刻工序的精确度和再现性的方法

Also Published As

Publication number Publication date
US7601272B2 (en) 2009-10-13
EP1939931A2 (en) 2008-07-02
KR101188385B1 (ko) 2012-10-08
ATE455369T1 (de) 2010-01-15
TWI387039B (zh) 2013-02-21
EP1939931A3 (en) 2008-11-05
EP1939931B1 (en) 2010-01-13
US20070097383A1 (en) 2007-05-03
DE602007004290D1 (de) 2010-03-04
CN101188192A (zh) 2008-05-28
TW200832594A (en) 2008-08-01
JP2008147645A (ja) 2008-06-26
KR20080046107A (ko) 2008-05-26
CN103745912A (zh) 2014-04-23

Similar Documents

Publication Publication Date Title
CN103745912B (zh) 用于在蚀刻处理中集成计量的方法和装置
US7846848B2 (en) Cluster tool with integrated metrology chamber for transparent substrates
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
TWI609250B (zh) 度量衡方法、度量衡裝置及元件製造方法
TWI581068B (zh) 微影裝置、元件製造方法及將圖案施加於基板之方法
US7250309B2 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6924088B2 (en) Method and system for realtime CD microloading control
CN101055422B (zh) 用于透明基材的整合式测量室
JP2006506812A (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
TWI658337B (zh) 改變蝕刻參數的方法及電腦程式產品
JP4943629B2 (ja) ターゲット修復用のイオンビーム
TWI777770B (zh) 具有投影系統之位置控制之度量衡工具
Ehrmann et al. Stencil mask technology for ion beam lithography
TWI803728B (zh) 判定用於微影製程的控制參數之方法及設備、包含指令之電腦程式、度量衡設備及微影設備
JP3531899B2 (ja) 投影露光装置、およびデバイス製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant