TWI387039B - 整合測量法與蝕刻製程的方法及設備 - Google Patents

整合測量法與蝕刻製程的方法及設備 Download PDF

Info

Publication number
TWI387039B
TWI387039B TW096144178A TW96144178A TWI387039B TW I387039 B TWI387039 B TW I387039B TW 096144178 A TW096144178 A TW 096144178A TW 96144178 A TW96144178 A TW 96144178A TW I387039 B TWI387039 B TW I387039B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
measurement
containing layer
etching
Prior art date
Application number
TW096144178A
Other languages
English (en)
Other versions
TW200832594A (en
Inventor
Khiem K Nguyen
Richard Lewington
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200832594A publication Critical patent/TW200832594A/zh
Application granted granted Critical
Publication of TWI387039B publication Critical patent/TWI387039B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

整合測量法與蝕刻製程的方法及設備
本發明涉及一種在多腔室製程系統中整合入一測量工具的方法和裝置。更具體而言,本發明涉及一種用於蝕刻製程監控的整合式測量方法和裝置。
在微電子元件的製造過程中,通常包含需要在半導體、電介質和導電基板上執行數百個獨立步驟的複雜製程順序。這些製程步驟的實例包括氧化、擴散、離子佈植、薄膜沈積、清洗、蝕刻和光微影。使用光微影和蝕刻(常稱為圖案轉移步驟)步驟,將所需的圖案轉移至如光阻這類的光敏感性材料層,並隨後在後續蝕刻期間將圖案轉移至光敏感性材料層下方的材料層。在光微影步驟中,使輻射源通過含有圖案的光罩(reticle)或光罩幕(photomask)暴光毯覆式光阻層,而使圖案的圖像形成在光阻中。在適宜的化學溶液中顯影光阻,並移除部分的光阻,而形成圖案化的光阻層。使用該光阻圖案作為罩幕,將該罩幕下方的材料層暴露於反應性環境中,例如利用濕蝕刻或乾蝕刻,以使圖案轉移至罩幕下方的材料層。
光罩上的圖案一般形成於支撐在玻璃或石英基板上的含金屬層中,也可透過一光阻圖案進行蝕刻來產生光罩上的圖案。然而,在這種情形下,與透過光罩來暴光光阻之做法不同的是,光阻圖案是利用直接寫入技術所創造出來,例如使用電子束或其他適合的輻射束來產生光阻圖案。使用圖案化的光阻作為罩幕,執行電漿蝕刻將該圖案轉移至該光罩下方的含金屬層。適合用於高階元件製程的光罩蝕刻設備範例有TetraTM 光罩蝕刻系統,其可從美國加州聖克拉拉市(Santa Clara,California)的應用材料有限公司(Applied Materials,Inc.)購得。
隨著元件尺寸不斷減小,用於高階技術的光罩設計和製造變得越來越複雜,並且臨界尺寸和製程均勻度的控制變得越來越重要。因此,在光罩製造中,需要不斷地改進對製程的監視和控制。
本發明的其中一態樣涉及一種包含具有傳送室、蝕刻室和測量室之多腔室系統的設備。在傳送室中設置有機械手,用於在蝕刻室和測量室之間傳送基板。機械手包括一連接到一機械臂的板和一連接到該板的葉片。該葉片具有至少一個可調構件以及一週邊部分,該至少一可調構件用於改變葉片與板的相對位置,以及該週邊部分界定出一開口。該週邊部分具有多個支撐構件,用於將基板支撐在週邊部分上方的一預定高度處。
本發明的另一態樣涉及一種處理基板的方法。該方法包括提供一包含一傳送室、一蝕刻室、一測量室和一可操作地連接至該測量室之測量工具的多腔室系統。在蝕刻室中處理一正方形或矩形基板。使用設置在傳送室內的機械手將已處理的基板傳送至測量室。當將已處理的基板支撐在機械手的葉片上且位於測量室內一預定位置處時,使用測量工具在已處理的基板上執行至少一光學測量。
本發明涉及一種用於整合測量工具與多腔室製程系統(或群集設備)的方法和設備。藉著在群集設備中提供測量能力,明顯有利於監控和控制製程。第1圖是多腔室製程系統或群集設備100的上視圖,顯示出該多腔室製程系統具有圍繞著傳送室105設置的多個製程室102、104、106和110。傳送室105連接至用於提供減壓條件的真空系統(未示出)。
根據本發明一實施例,該等製程腔室110的其中之一是測量室,以及另一製程腔室104是蝕刻室。傳送室105容納機械手140,機械手140用於在腔室102、104、106和110之間來回傳送基板。測量室110可操作地連接至測量工具180,該測量工具180在測量室110內的基板上執行測量。例如,測量工具180可對已在蝕刻室104中經過處理的基板上執行光學測量。從該光學測量中擷取出關於基板特性的資訊,並且決定是否需要對基板進行進一步的處理,或決定是否需要調整蝕刻室中的製程條件。雖然在本文通篇內容中舉出將測量方法整合於蝕刻室104的實施例,但可理解同樣可將測量法整合在其他製程室的製程監控和控制中,例如,可將測量法整合在沈積室中,該沉積室係用以在腔室104中進行蝕刻之前於基板上沈積材料。沈積室可以是系統100的一部分,或是獨立的處理系統。
系統控制器190連接並控制多腔室系統100中的每個腔室或模組。通常,系統控制器190藉著直接控制系統100的各個腔室和設備,或者藉著控制與這些腔室和設備相連的電腦,而控制系統100的所有操作模式。此外,控制器190還與測量工具180的控制單元建立通信聯繫。例如,可透過系統控制器190來控制諸如機械手140的運動、基板在製程室102、104、106和測量室110中來回傳送、執行製程順序、協調測量工具180與多腔室系統100之不同部件的操作等各項操作。
在操作中,系統控制器190對各個腔室和裝置進行反饋,來達到最有效的基板產量。系統控制器190包括中央處理單元(CPU)192、記憶體194和支援電路196。中央處理單元192可以是適用於工業應用中的任一種一般用途電腦處理器。支援電路196以常規方式連接至中央處理單元192並且可能包括快取記憶體(cache)、時鐘電路、輸入/輸出子系統、電源等。當以中央處理單元293執行軟體程式時,會將CPU轉變成特定用途電腦(控制器)190。也可以藉由設置於系統100遠端處的第二控制器(未示出)來存儲和/或執行該些軟體程式。
第2圖是耦合至測量室110之傳送室105的透視示意圖。測量室110包括腔體210和蓋子212。觀察口或窗口214設置在蓋子212上,以允許光學性地進出測量室110。蓋子212具有一凹槽(如第3圖所示的凹槽302),該凹槽中設置有一O型環。窗口214藉由凸緣216而固定於蓋子212上,並且O型環可在窗口214和蓋子212之間提供真空密封。第2圖所示的機械手140是雙葉片機械手的示範例,其具有兩個機械臂240,如圖所示,其中一個機械臂具有連接到肘板242的機械手葉片250。根據本發明一實施例,機械手葉片250適於在不同腔室之間來回傳送基板,還適用於在測量室110內支撐基板以便執行測量。
在基板的光學測量期間,測量室110和傳送室105可維持在一般的減壓條件下。在一實施例中,腔室可維持在約200毫托的壓力下。也可採用其他壓力,例如低於約1托,並且壓力下限約10毫托,其端視用來抽空腔室的真空泵種類而定。諸如門或柵閥等分隔件(未示出)可設置在傳送室105和測量室110之間,以便視需要可將該等腔室彼此隔離開來。例如,當基板在任意的製程腔室102、104或106中來回傳送時,尤其是如果腔室壓力條件明顯不同於其他腔室時,較佳地可將測量室110與傳送室隔離開來。
第3圖是測量室110的剖視示意圖,其說明機械手葉片250和基板300相對於測量工具180的相對位置。觀察口或窗口224設置在測量室腔體210的底部用於使光進出。由熔融矽石或其他適合材料形成的窗口224藉由凸緣226連接到腔體210的外表面。與頂部窗口214相似,藉著在腔體210表面上的凹槽內設置O型環或其他適合的密封材料來達成窗口224和腔室表面之間的真空密封。
在一示例性實施例中,使用測量工具180對已在蝕刻室104內經過處理的光罩基板300上執行光學測量。適宜的測量工具範例是N&K的光罩工具,其可執行諸如反射率和/或透射率等測量。其他製造商的測量工具也適合與本發明的多腔室系統並用。雖然測量和分析法的細節內容取決於採用何種特定測量工具而定,但方法流程大體如下。
來自測量工具180的入射光束182通過底部窗口224引入測量室110內,並聚焦到基板300上(如果合適的話)。典型地,將一個或多個測試圖案,例如包括可能是或不是規律的線路/間隔結構,提供在基板的不同位置處。較佳地,每個測試圖案的尺寸比入射光束尺寸要大,並且還應足夠大到考慮到機械手的定位誤差。入射光束182的光點尺寸視特定的應用和測量類型而有所不同。例如,用於石英蝕刻測量時,可採用直徑約1毫米(mm)的光束尺寸來執行溝渠深度測量。對於採用1毫米之光點尺寸進行測量時,約0.25毫米的基板300定位再現性精確度是足夠的。該光束可以照射在測試圖案上或基板300上具有至少約5%之特徵覆蓋率(即,非毯覆式金屬層或石英層)的區域上。對於橫向或水平方向上的臨界尺寸(CD)測量,可使用例如約50微米的較小尺寸光束。而且,由於通常使用干涉測量法進行CD測量,需要尺寸至少與入射光束尺寸一樣大的測試圖案。較大的測試圖案將有利於對準和定位,並且減小機械手定位所需的精確度。例如,適宜的測試圖案可以是線性尺寸約200微米至約5毫米的方塊圖案。
當基板300由機械手葉片250支撐並定位在測量室110內一預定位置處時,入射光束182引導至基板300的適宜區域上,例如,引至測試圖案上。例如入射光束182和測試圖案結構之間相互作用所產生的返回光束184,則利用測量工具180中的光偵測器來偵測。返回光束184可能源自於光束反射、衍射、散射、干擾或其組合,並且所偵測到之信號的特性將隨著特定的薄膜結構和測試圖案而變化。
通常以軟體來分析結果,例如使用測量工具相關軟體來進行結果分析,以獲得與基板特性有關的資訊,諸如臨界尺寸、膜層厚度、蝕刻深度、相位移等資訊。某些情形下,在基板300上的一位置處執行一個或多個測量可達到製程監控目的。或者,利用機械手140將基板300移動到其他預定位置,以在基板300的不同位置處執行額外的測量。這些額外的測量可提供例如關於製程均勻性的資訊。根據這些結果,可依據需要做出有關任何製程控制或參數調整的決定。舉例而言,可將所測得諸如中心到邊緣均勻性(沿x/y方向)等基板特性或製程結果與一參考值相比較,來決定該測量結果是否落在可接受的限制範圍內,以及決定基板是否需要送回蝕刻室做進一步處理。或者,如果對該結果不滿意,還可處理下一個基板之前,先改變蝕刻室中的一個或多個製程條件。
雖然圖中顯試測量工具180的入射光束是通過底部窗口224進入測量室110中,而提供基板300背面的測量,也可以使光束182通過頂部窗口214而照射在基板300的頂部上,以進行其他種測量。另外,還可採用透射模式(transmission mode)來進行測量,也就是監測通過部分基板300的光線,來取代第3圖所示的反射模式操作。用於透射模式的光源可以是環境光線、來自測量工具180的光束182或其他光源任何一種。
測量工具180一般還具有控制單元186,用於控制工具運作,例如執行測量、存取資料、分析結果等等。該控制單元186可配置成與系統控制器190進行通信,以允許以協調方式執行不同的操作。
第4A-4B圖是在製造期間,光罩基板的結構截面圖。光罩基板300包括一含氧化矽層310、一含金屬層320和一已圖案化光阻層330。含氧化矽層310通常是玻璃板或石英板(熔融矽石),其允許來自不同光微影工具的UV輻射波長通過。含金屬層320通常可能是含鉻層或含鉬層,或可能包括適用於光罩的其他金屬。可用於含金屬層320的材料範例包括鉻、氧化鉻、氮氧化鉻、鉬、矽化鉬、矽化鉬鎢等和這些物質的組合。抗反射層(ARC)325通常提供在含金屬層320和光阻層330之間,以改善光微影製程。光阻層330可包括適合與本領域技術人員熟知的不同光微影技術或設備並用的多種光阻材料。含金屬層330通常可具有從約250到約1000的厚度,ARC層可具有約250到約550的厚度,同時光阻層的厚度範圍約從2000到約5000。雖然第4A圖中顯示該含金屬層320為單層,但依據欲製造特定光罩而定,該含金屬層320也可以具有由不同材料形成的多膜或多層。
藉著將第4A圖的結構暴露於蝕刻室104內的反應性環境中,使光阻層330的圖案轉移至光阻層下方的ARC層325和含金屬層320。例如,由含氯氣體(例如,Cl2 )或含氟氣體(例如,SF6 或CF4 )等蝕刻氣體、氧氣等氧化氣體和諸如氦氣等惰性氣體所形成的電漿都可用來蝕刻含金屬層320。可使用適宜的終點偵測方法來監控含金屬層320的蝕刻過程。如第4B圖所示,取決於特定的應用,一旦下方的含氧化矽層310暴露出來時,就立即停止蝕刻製程;或者可能某些類型的相位移光罩要求執行蝕刻製程直至蝕刻到含氧化矽層310中的某預定深度。
雖然可在光罩基板300的蝕刻期間使用原位終點偵測法來執行蝕刻製程的監視和控制,而整合式測量室中的非原位測量法可提供更大測量能力但卻不容易整合在蝕刻環境中。對於非原位測量法,機械手葉片250傳送來自蝕刻室104的基板300,並且將基板支撐且適當地定位在測量室110內。機械手葉片250設計成允許相對於測量工具180來定位基板300,更具體地,具有足夠的精度以使入射光束182和基板300上的測試圖案對齊,以在基板300支撐於機械手葉片250上時進行測量。例如,除了可橫向定位基板300之外,機械手140還配置成可藉由一個或多個可調構件來調整機械手葉片250的高度和/或水平以及光罩基板300的高度和/或水準。
第5A圖是適合用來實行本發明的機械手葉片250實施例的示意圖,以及第5B圖顯示由機械手葉片250支撐之基板300的部分剖面圖(沿虛線5B-5B所示)。機械手葉片250具有第一端510與第二端520,第一端510係用以連接到機械手140的肘板242,以及第二端520係用以支撐光罩基板300,或更廣泛而言,是用來支撐正方形或矩形的基板。更明確地,機械手葉片250的第二端520具有一週邊部分524,用以界定出一開口525,例如位於x-y平面中的水平框架,並且具有用於將基板300保持在x-y平面中一適當位置(橫向)處的多個突出部分。第5A圖的實施例示出用於將基板300相對於葉片250保持在預定方位的五個突出部分530、532、534、536和538。如第5B圖所示,突出部分530和538從葉片250向上延伸並約束基板300的兩側。水平框架和突出部分也可具有其他的配置或設計方式,包括例如在水平框架524的兩個角落處設置兩個L型突出部分(未示出)。
第5B圖還示出基板300支撐在分別與突出部分530和538相鄰的臺階530A和538A上。與突出部分532(參見第5A圖)相鄰設置的另一臺階532a同樣作為用來支撐光罩300的支撐表面。例如圍繞週邊部分524的臺階數目或位置也可具有其他變化,只要該些臺階能在基板邊緣處接觸該基板300即可。在一實施例中,機械手葉片250所具有的臺階高度和尺寸適合用來支撐面積約6英寸×6英寸且厚度約0.25英寸的基板300。應當理解可以修改葉片尺寸來容納其他尺寸的光罩。
可使用不同機構來調節機械手葉片250和支撐於其上之基板300的位置。在第5A圖的示範實施例中,提供多個可調構件,例如固定螺絲540、542和544,用於調整機械手葉片250的高度和水平。如第5B圖所示,固定螺絲540設置在機械手葉片250的螺紋孔中且具有一端穿過葉片250的底部突出並擱在肘板242的頂表面上。固定螺絲540的調整(以及第5A圖的固定螺絲542、544)允許相對於肘板242將機械手葉片250的高度和水平設定成一期望位置,其後,使用多個螺栓(其中一個表示為螺栓560)將機械手葉片250固定或附接到機械臂240的肘板242。螺栓560套入位在葉片250之第一端510上的栓孔中,並鎖入肘板242上的螺紋孔中。如第5A圖所示,對應於肘板242上的螺絲孔,在在葉片250上設置額外的栓孔591、592、593、594和595,以容納其他螺栓。在一實施例中,有六個螺栓用於將葉片250固定在肘板242上,當然也可採用其他變化態樣,例如不同數量和位置的螺栓。較佳地,在相對於葉片250的中心縱軸LL’(沿著x方向)對稱設置一對螺栓,例如,在栓孔590和593處、591和594處,或592和595處。
一般而言,在測量室運作之前,先調整機械手葉片250,以提供在基板300上執行不同光學測量所需公差範圍內的適當較準(高度/距離和水平)。例如,可使用一個或多個固定螺絲540、542和544來調整葉片250相對於肘板242的高度,同時可使用固定螺絲540或544沿著葉片250之中心縱軸LL’做旋轉或水平調整,所述固定螺絲設置在縱軸LL’的相反側上。
基板300由週邊部分524的多個臺階(例如530A、532A和538A)支撐著。在一實施例中,可相對於肘板242來調整葉片250的高度多達約0.12英寸(約3毫米),以及相對於由肘板242之板所界定出的平面x/y來調整葉片的端部傾斜角多達約15度。為了相對於光束調平基板的目的,利用固定螺絲進行高度調整具有約0.005英寸的精度對於大部分測量是足夠的。雖然在所示的實施例中,是以手動方式來調整機械手葉片250和基板300的高度和傾斜度,如有需要,也可藉由中央處理單元192提供電動控制來執行自動調整。
在第3圖所示的實施例中,測量室110的熔融矽石窗口224允許來自測量工具180的光束182引導至基板300上。如第4B圖所示,在穿過石英層310之後,部分入射光束182會被含金屬層320反射回去而成為部分返回光束184。其他部分的入射光束182可能會被基板300之結構的其他部分反射、散射或衍射,而形成部分返回光束184,並且藉由測量工具180的光偵測器(未示出)來偵測該返回光束184。基於光學測量的結果,可以確定出諸如臨界尺寸、蝕刻深度、相位移等基板特性。如果發現這些特性落在特定的預定限制範圍之外,則可將光罩傳送至蝕刻室做額外處理,並且可依據需要來調整製程條件或採取其他矯正措施。系統控制器190用於控制和協調測量工具180和多腔室製程系統100不同部件的全部操作。
第6圖示出了可使用本發明設備來實施的方法步驟。步驟601中,提供包括傳送室、製程室和測量室的多腔室系統。在步驟603,測量工具可操作地連接至測量室。在正方形或矩形的基板在製程室中處理之後(步驟605),使用設置在傳送室中的機械手將基板傳送至測量室(步驟607)。在步驟609,當機械手的葉片將已處理過的基板支撐在處理腔室內一預定位置處時,使用測量工具在基板上執行至少一光學測量。在步驟611,由該至少一光學測量確定出一基板特性。根據特定的處理需要,可使用本文所揭示的設備來實施用於製程監控或控制的額外方法步驟或變化步驟。
雖然前述內容揭示本發明的較佳實施例,但在不偏離本發明基本範圍下,可設計出本發明的其他和進一步實施例,並且本發明範圍由以下申請專利範圍所界定。
100...多腔室製程系統
102...製程室
104...蝕刻室
105...傳送室
106...製程室
110...測量室
140...機械手
180...測量工具
182...入射光束
184...返回光束
186...控制單元
190...系統控制器
192...中央處理單元
194...記憶體
196...支援電路
210...腔體
212...蓋子
214...頂部窗口
216...頂部凸緣
224...底部窗口
226...底部凸緣
240...機械臂
242...肘板
250...葉片
300...基板/光罩
310...石英層
320...含金屬層
325...抗反射層
330...圖案化光阻
510...葉片第一端
520...葉片第二端
524...週邊部分
525...開口
530、532、534、536、538...突出部分
530A、532A、538A...步驟
540、542、544...固定螺絲
560...螺栓
590、591、592、593、594、595...栓孔
601、603、605、607、609、611...步驟
可參照附圖中所示的實施例對以上簡要概述的本發明進行更具體的描述。然而,應該注意,附圖中只出示了本發明典型的實施例,因此不能視為對本發明範圍的限制,本發明尚有其他等效實施例。
第1圖是具有整合式測量室之多腔室製程系統的示意圖;第2圖是連接至傳送室之測量室的透視示意圖;第3圖是顯示有一基板在第2圖測量室內的剖面圖;第4A-4B圖是製造過程中,光罩基板結構的截面示意視圖;第5A圖是適用於本發明一實施例中的機械手葉片示意圖;第5B圖是第5A圖之機械手葉片的局部剖面示意圖;第6圖說明可使用本發明設備來執行的方法。
為了便於理解,盡可能使用相同的元件符號來表示附圖中共同的相同元件。並且無需進一步說明,可預期到一實施例的元件可有利地用在其他實施例中。
100...多腔室製程系統
102...製程室
104...蝕刻室
105...傳送室
106...製程室
110...測量室
140...機械手
180...測量工具
190...系統控制器
192...中央處理單元
194...記憶體
196...支援電路

Claims (14)

  1. 一種處理基板的方法,包括以下步驟:(a)提供包含一傳送室、一蝕刻室和一測量室的一多腔室系統;(b)提供一可操作連接至該測量室的測量工具;(c)在該蝕刻室中處理一基板,該基板為正方形或矩形中的一者;(d)使用設置在該傳送室內的一機械手將該已處理的基板傳送至該測量室;(e)當將該已處理的基板支撐在該機械手的一葉片上且位於該測量室內一預定位置處時,使用設置在該測量室內的該測量工具在該已處理的基板上執行至少一光學測量;以及(f)從該至少一光學測量決定一基板特性,其中該基板特性包括臨界尺寸、蝕刻深度、膜層厚度或相位移之其中一者。
  2. 如申請專利範圍第1項所述的方法,更包括以下步驟:(g)在整個步驟(d)和(e)中維持該測量室和該傳送室在減壓條件下。
  3. 如申請專利範圍第1項所述的方法,其中該基板包括下列其中一者:一含氧化矽層(silicon oxide-containing layer)、一含金屬層(metal-containing layer)或一光阻層 (photoresist layer)。
  4. 如申請專利範圍第3項所述的方法,其中該含氧化矽層是石英。
  5. 如申請專利範圍第3項所述的方法,其中該含金屬層包括下列其中一者:鉻(chromium)、氧化鉻(chromium oxide)、氮氧化鉻(chromium oxynitride)、鉬(molybdenum)、矽化鉬(molybdenum silicide)、矽化鉬鎢(molybdenum tungsten silicide)或其組合。
  6. 如申請專利範圍第1項所述的方法,其中該步驟(e)更包括以下步驟:(e1)將來自該測量工具的一入射光束引導至該已處理的基板上;以及(e2)偵測來自該已處理基板的一返回光束。
  7. 如申請專利範圍第6項所述的方法,更包括在步驟(e)之前的步驟:調整該基板的方向,以將該入射光束引導至該基板上的一測試圖案,以及利用該測量工具偵測該返回光束。
  8. 如申請專利範圍第1項所述的方法,更包括以下步驟: (g)提供與該多腔室系統和該測量工具通信連接的一控制器;(h)回應從該光學測量獲得的資訊,從該控制器發送指令給該多腔室系統。
  9. 如申請專利範圍第1項所述的方法,更包括以下步驟:(g)將步驟(f)中所決定的該基板特徵與一參考值相比較;以及(h)根據步驟(g)的結果,執行以下步驟之其中一者:(h1)將該已處理的基板傳送至該蝕刻室以進行額外處理;或者(h2)在處理下一個基板之前,改變該蝕刻室中的至少一製程條件。
  10. 一種處理光罩幕基板的方法,包含以下步驟:(a)提供一光罩幕基板至一多腔室系統,該多腔室系統包含:一蝕刻室和一測量室,該光罩幕基板包含:一含氧化矽層和一含金屬層,其中該含金屬層包含:鉻(chromium)及/或鉬(molybdenum);(b)於設置在該多腔室系統中的一機械手葉片上,將該基板傳送至一蝕刻室;(c)在該蝕刻室中蝕刻該含金屬層;(d)於該機械手葉片上,將被蝕刻的基板傳送至該測量室; (e)支撐在該測量室內的該基板,同時在被蝕刻的基板上執行至少一光學測量;(f)從該至少一光學測量決定一基板特性,其中該基板特性包括臨界尺寸、蝕刻深度、膜層厚度或相位移之其中一者;及(g)送回被測量的基板至該蝕刻室以供進一步的蝕刻。
  11. 如申請專利範圍第10項所述的方法,進一步包含以下步驟:(h)決定:測量的基板特性是否已到達一預先決定的參考值。
  12. 如申請專利範圍第11項所述的方法,其中支撐之步驟進一步包含以下步驟:將設置在被蝕刻的基板上的一測試圖案與經利用以執行測量的在該測量室中的一光束對齊。
  13. 如申請專利範圍第10項所述的方法,其中支撐在該測量室內的該基板之步驟包含以下步驟:於一機械手葉片上支撐該基板,同時執行該至少一光學測量。
  14. 如申請專利範圍第13項所述的方法,進一步包含以下步驟:移動支撐在該機械手葉片上的該基板,同時執行該至少一光學測量。
TW096144178A 2006-11-21 2007-11-21 整合測量法與蝕刻製程的方法及設備 TWI387039B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/561,995 US7601272B2 (en) 2005-01-08 2006-11-21 Method and apparatus for integrating metrology with etch processing

Publications (2)

Publication Number Publication Date
TW200832594A TW200832594A (en) 2008-08-01
TWI387039B true TWI387039B (zh) 2013-02-21

Family

ID=39402905

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096144178A TWI387039B (zh) 2006-11-21 2007-11-21 整合測量法與蝕刻製程的方法及設備

Country Status (8)

Country Link
US (1) US7601272B2 (zh)
EP (1) EP1939931B1 (zh)
JP (1) JP2008147645A (zh)
KR (1) KR101188385B1 (zh)
CN (2) CN101188192A (zh)
AT (1) ATE455369T1 (zh)
DE (1) DE602007004290D1 (zh)
TW (1) TWI387039B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9257292B2 (en) * 2011-03-30 2016-02-09 Tokyo Electron Limited Etch system and method for single substrate processing
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
US9449785B2 (en) * 2013-11-11 2016-09-20 Howard Hughes Medical Institute Workpiece transport and positioning apparatus
CN105097984A (zh) * 2014-05-12 2015-11-25 上海理想万里晖薄膜设备有限公司 一种硅基异质结太阳能电池钝化层前期处理方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
JP2016213475A (ja) * 2015-05-13 2016-12-15 東京エレクトロン株式会社 シュリンク及び成長方法を使用する極端紫外線感度低下
CN107444906A (zh) * 2017-09-13 2017-12-08 贵州香雪海冷链有限公司 一种冷柜箱体自动抽真空的生产方法
CN108364888A (zh) * 2018-02-11 2018-08-03 武汉华星光电半导体显示技术有限公司 检测设备
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
US11309404B2 (en) 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
KR20210041654A (ko) 2019-10-07 2021-04-16 삼성전자주식회사 반도체 기판 측정 장치, 이를 이용한 반도체 기판 처리 장치 및 반도체 소자 형성 방법
KR20210116240A (ko) * 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
JP2022076059A (ja) * 2020-11-09 2022-05-19 日本電産サンキョー株式会社 産業用ロボット
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980766A (en) * 1995-05-03 1999-11-09 Daniel L. Flamm Process optimization in gas phase dry etching
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
US20040021856A1 (en) * 2002-08-02 2004-02-05 Hidetoshi Nishiyama System for monitoring foreign particles, process processing apparatus and method of electronic commerce

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5891352A (en) * 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
AU3651497A (en) * 1996-07-05 1998-02-02 Beckman Coulter, Inc. Automated sample processing system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH10338347A (ja) * 1997-06-11 1998-12-22 Kokusai Electric Co Ltd 基板搬送装置
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
WO2000058188A1 (en) * 1999-03-25 2000-10-05 N & K Technology, Inc. Wafer handling robot having x-y stage for wafer handling and positioning
JP2000352505A (ja) * 1999-04-05 2000-12-19 Toshiba Corp 膜厚測定方法および装置、薄膜処理装置並びに半導体装置の製造方法
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
KR20020087481A (ko) * 2000-04-07 2002-11-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 갈륨비소 웨이퍼용 웨이퍼 배향 센서
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6411389B1 (en) * 2000-05-03 2002-06-25 The Regents Of The University Of Claifornia Optical monitor for real time thickness change measurements via lateral-translation induced phase-stepping interferometry
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
JP4467761B2 (ja) * 2000-11-07 2010-05-26 株式会社アルバック 基板の処理装置及び基板の処理方法
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20020147960A1 (en) * 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6653231B2 (en) * 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity
US6479309B1 (en) * 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4197103B2 (ja) 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6762130B2 (en) * 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
US6825487B2 (en) * 2002-07-30 2004-11-30 Seh America, Inc. Method for isolation of wafer support-related crystal defects
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
JP2004165365A (ja) * 2002-11-12 2004-06-10 Tokyo Electron Ltd 基板処理装置及び方法
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
KR100568867B1 (ko) * 2004-03-18 2006-04-10 삼성전자주식회사 웨이퍼 좌표감지장치 및 그 웨이퍼 좌표감지 기능을 갖는반도체 제조설비
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US6961626B1 (en) * 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980766A (en) * 1995-05-03 1999-11-09 Daniel L. Flamm Process optimization in gas phase dry etching
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
US20040021856A1 (en) * 2002-08-02 2004-02-05 Hidetoshi Nishiyama System for monitoring foreign particles, process processing apparatus and method of electronic commerce

Also Published As

Publication number Publication date
US7601272B2 (en) 2009-10-13
CN103745912B (zh) 2018-09-21
EP1939931A2 (en) 2008-07-02
KR101188385B1 (ko) 2012-10-08
ATE455369T1 (de) 2010-01-15
EP1939931A3 (en) 2008-11-05
EP1939931B1 (en) 2010-01-13
US20070097383A1 (en) 2007-05-03
DE602007004290D1 (de) 2010-03-04
CN101188192A (zh) 2008-05-28
TW200832594A (en) 2008-08-01
JP2008147645A (ja) 2008-06-26
KR20080046107A (ko) 2008-05-26
CN103745912A (zh) 2014-04-23

Similar Documents

Publication Publication Date Title
TWI387039B (zh) 整合測量法與蝕刻製程的方法及設備
US7846848B2 (en) Cluster tool with integrated metrology chamber for transparent substrates
US6924088B2 (en) Method and system for realtime CD microloading control
KR100938636B1 (ko) 제조 장비에서 포스트 에칭 cd를 반복하기 위한 방법
US7250309B2 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
TWI635368B (zh) 微影方法與微影裝置
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
US7732109B2 (en) Method and system for improving critical dimension uniformity
TW201702750A (zh) 度量衡方法、度量衡裝置及元件製造方法
JP2005012218A (ja) エッチング処理をモニタリングする方法およびシステム
CN101055422B (zh) 用于透明基材的整合式测量室
US8853087B2 (en) Method of manufacturing semiconductor device and system for manufacturing semiconductor device
US20120045721A1 (en) Method for forming a self-aligned double pattern
TWI803728B (zh) 判定用於微影製程的控制參數之方法及設備、包含指令之電腦程式、度量衡設備及微影設備
KR20230038922A (ko) 기판 처리 장치 및 기판 처리 방법
Chapados Jr Monitoring of submicrometer linewidths using diffraction gratings

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees