TWI631423B - 微影圖案化程序及使用於其中之光阻 - Google Patents

微影圖案化程序及使用於其中之光阻 Download PDF

Info

Publication number
TWI631423B
TWI631423B TW101121798A TW101121798A TWI631423B TW I631423 B TWI631423 B TW I631423B TW 101121798 A TW101121798 A TW 101121798A TW 101121798 A TW101121798 A TW 101121798A TW I631423 B TWI631423 B TW I631423B
Authority
TW
Taiwan
Prior art keywords
photoresist
radiation
euv
substrate
wavelength
Prior art date
Application number
TW101121798A
Other languages
English (en)
Other versions
TW201305736A (zh
Inventor
山達 佛芮得瑞克 威斯特
法狄米爾 米哈囉維茲 可瑞森
安卓 米克哈洛維奇 亞庫寧
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201305736A publication Critical patent/TW201305736A/zh
Application granted granted Critical
Publication of TWI631423B publication Critical patent/TWI631423B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

本發明係關於一種微影程序,其包括將存於光阻材料中之含矽聚合物或包括選自由如下各者組成之群組之至少一元素之化合物使用於EUV微影程序:Ta、W、Re、Os、Ir、Ni、Cu或Zn。用於該程序中之EUV光之波長係小於11奈米,例如,6.5奈米至6.9奈米。本發明進一步係關於新穎含矽聚合物。

Description

微影圖案化程序及使用於其中之光阻
本發明係關於特定含矽聚合物或包含特定金屬之化合物用於微影目的之用途,且係關於一種使用此等聚合物或化合物之新穎圖案化方法或程序。本發明本身進一步係關於含矽聚合物,及含矽聚合物或包含特定金屬之化合物作為光阻在微影程序中之用途,該等微影程序涉及發射小於11奈米之波長之極紫外線(EUV)輻射。
本申請案主張2011年7月8日申請且全文以引用之方式併入本文中之美國臨時申請案第61/505,768號的權利。
在光微影中,將所要圖案施加至基板上,通常施加至基板之目標部分上。微影裝置可用於(例如)積體電路(IC)之製造中。在彼情況下,圖案化器件(其或者被稱作光罩或比例光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分上。通常經由成像至提供於基板上之輻射敏感材料(通常被稱作光阻)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。
微影被廣泛地認為是在IC以及其他器件及/或結構之製造中之關鍵步驟中的一者。然而,隨著使用微影所製造之特徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型IC或其他器件及/或結構之更具決定性之因素。圖案印刷極限之理論估計可藉由瑞立(Rayleigh)解析度準則給 出,如方程式(1)所示: 其中λ為所使用之輻射之波長,NA為用以印刷圖案之投影系統之數值孔徑,k1為程序相依調整因數(亦被稱為瑞立常數),且CD為經印刷特徵之特徵大小(或臨界尺寸)。自方程式(1)可見,可以三種方式來獲得特徵之最小可印刷大小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑NA,或藉由減低k1之值。
為了縮短曝光波長且因此縮減最小可印刷大小,已提議使用極紫外線(EUV)輻射源。EUV輻射為具有在5奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內)之波長的電磁輻射。此輻射有時被稱為軟x射線輻射。可使用電漿來產生EUV輻射。用於產生EUV輻射之輻射系統可包括用於激發燃料以提供電漿之雷射,及用於含有電漿之源收集器模組。可(例如)藉由將雷射光束引導於燃料(諸如,合適材料(例如,錫)之粒子,或合適氣體或蒸汽(諸如,Xe氣體或Li蒸汽)之串流)處來創製電漿。此輻射系統通常被稱為雷射產生電漿(LPP)源。替代源包括放電電漿源,或基於藉由電子儲存環提供之同步加速器輻射之源。
在EUV微影中,波長之選擇可受到涉及合適輻射源、光學組件及程序材料之可用性之實務考慮限制。當前EUV微影系統全部使用在13奈米至14奈米之範圍內之輻射波長進行操作,且在將EUV微影用於大量生產之前保持進行許多開發。已進一步提議可使用具有小於11奈米之波長之EUV 輻射,例如,在5奈米至10奈米或5奈米至8奈米之範圍內,且特別是在6.5奈米至6.9奈米之所謂「6.x」波長區之範圍內,例如,6.7奈米或6.8奈米。意圖為:相比於當前所使用的13.5奈米之輻射,較短波長可提供較好解析度(低於11奈米之節點之特徵)、較大聚焦深度(DOF)及較高產出率。然而,波長改變帶來新範圍的實務考慮,且針對13.5奈米而最佳化之技術及材料可能或可能不在較短波長下工作。
商業EUV微影之開發之特定挑戰在於在抗蝕刻材料中將實現藉由EUV光學系統投影之高解析度圖案之輻射敏感光阻材料的調配。關於可在13.5奈米下使用之光阻材料之開發的一些工作已得以公佈。本發明之發明人已認識到,完全不同的解決方案可適於在低於11奈米之較短波長下使用。
根據本發明之一態樣,提供(i)含矽聚合物或(ii)包含以下元素中至少一者之化合物在一光阻材料中用於一EUV微影程序之用途:Ta、W、Re、Os、Ir、Ni、Cu或Zn,其中用於該程序中之EUV輻射之波長小於11奈米。該波長可在5奈米至8奈米之範圍內,例如,在6.5奈米至6.9奈米之範圍內,例如,為大約6.7奈米或6.8奈米。
在一實施例中,該光阻材料係作為一膜而沈積至一基板上,且該膜具有在10奈米至100奈米之範圍內之一厚度,例如,該厚度小於50奈米或甚至小於30奈米。以此方式, 可使光阻高度對特徵寬度之比率維持為小於3、小於2.5或小於2。
根據本發明之一態樣,提供一種光微影圖案化程序,該光微影圖案化程序包括:使用如上文所闡述之一材料在一基板上形成一光阻材料之一膜;用小於11奈米之一波長之EUV光之一經圖案化輻射光束來輻照該光阻膜;及顯影該光阻膜。
根據本發明之一態樣,提供一種製造一器件之方法,其中藉由微影步驟及其他處理步驟之一序列將經圖案化器件特徵施加至一基板,其中該等微影步驟中至少一者為根據如上文所闡述之本發明之一態樣的包括使用一材料作為一光阻之一光微影圖案化程序。
根據本發明之一態樣,提供一種用具有小於11奈米之一波長之EUV光之一經圖案化光束來輻照一光阻材料之一光阻膜的方法,該光阻材料包含一含矽聚合物及包含以下元素中至少一者之一化合物中至少一者:Ta、W、Re、Os、Ir、Ni、Cu或Zn。
根據本發明之一態樣,提供一種含矽聚合物,該含矽聚合物包含具有以下式子之一單體: 其中R為C1至C20烷基矽烷基或具有如下式子之基團: 其中R1、R2及R3各自為C1至C20烷基矽烷基。
該含矽聚合物可作為一光阻而用於一光微影程序中。下文進一步描述特定實例及其變化,以輔助理解本發明。
現在將參看隨附示意圖而僅藉由實例來描述本發明之實施例。
圖1示意性地描繪根據本發明之一實施例的用於器件製造程序之實施例中之微影裝置100。該裝置包含:源收集器模組SO;照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射);支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩或比例光罩)MA,且連接至經組態以準確地定位該圖案化器件之第一定位器PM;基板台(例如,晶圓台)WT,其經建構以固持基板(例如,光阻塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二定位器PW;及投影系統(例如,反射投影系統)PS,其經組態以將藉由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
照明系統可包括用於引導、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構MT以取決於圖案化器件MA之定向、微影裝置 之設計及其他條件(諸如,該圖案化器件是否被固持於真空環境中)的方式來固持該圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。
術語「圖案化器件」應被廣泛地解釋為指代可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中創製圖案的任何器件。被賦予至輻射光束之圖案可對應於目標部分中所創製之器件(諸如,積體電路)中之特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中每一者可個別地傾斜,以便在不同方向上反射入射輻射光束。傾斜鏡面在藉由鏡面矩陣反射之輻射光束中賦予圖案。
類似於照明系統,投影系統可包括適於所使用之曝光輻射或適於諸如真空之使用之其他因素的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。可能需要將真空用於EUV輻射,此係因為其他氣體可能吸收過多輻射。因此,可憑藉 真空壁及真空泵而將真空環境提供至整個光束路徑。
如此處所描繪,裝置為反射類型(例如,使用反射光罩)。
微影裝置可為具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
參看圖1,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV光之方法包括(但未必限於)用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱作雷射產生電漿「LPP」)中,可藉由用雷射光束來輻照燃料(諸如,具有所需譜線發射元素之材料之小滴、串流或叢集)而產生所需電漿。源收集器模組SO可為包括雷射(圖1中未繪示)之EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如,EUV輻射),輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等狀況下,不認為雷射形成微影裝置之部件,且輻射光束係憑藉包含(例如)合適引導鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被 稱作DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化器件(例如,光罩)MA上,且係藉由該圖案化器件而圖案化。在自圖案化器件(例如,光罩)MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2(例如,干涉量測器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,可使用第一定位器PM及另一位置感測器PS1以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩)MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。
所描繪裝置可用於以下模式中至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接 著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。
圖2更詳細地展示裝置100,裝置100包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中創製極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。藉由(例如)造成至少部分離子化 電漿之放電來創製極熱電漿210。為了輻射之有效率產生,可能需要為(例如)10帕斯卡之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供受激發錫(Sn)電漿以產生EUV輻射。
藉由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方之選用氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中所知,本文進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室211可包括可為所謂掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射以聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處輻射光束21之所要角分佈,以及在圖案化器件MA處輻射強度之所要均一性。在藉由支撐結構MT固持之圖案 化器件MA處輻射光束21之反射後,隨即形成經圖案化光束26,且藉由投影系統PS將經圖案化光束26經由反射元件28、30而成像至藉由晶圓載物台或基板台WT固持之基板W上。
比所示元件多之元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖2所示之反射元件多1至6個的額外反射元件。
如圖2所說明,收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO通常係結合放電產生電漿源(常常被稱為DPP源)予以使用。
或者,源收集器模組SO可為使用近正入射收集器光學件(圖中未繪示)之LPP輻射系統之部件。在LPP系統中,雷射經配置以將雷射能量沈積至燃料材料中,從而創製具有數十電子伏特之電子溫度之高度離子化電漿。在此等離子之去激發及再結合期間所產生之高能輻射係自電漿發射、藉由近正入射收集器光學件收集,且聚焦至圍封結構220中之開口221上。對於13.5奈米之波長,使用諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料。對於6.x奈米之輻射,候選者為Gd及Tb以及其合金及化合物(比如,Gd2O3)。每光子能量可大於100電子伏特,例如,為約188電子伏特。
器件製造程序
作為用於製造器件之方法之部分的典型圖案化程序通常使用圖1及圖2之裝置以將圖案自圖案化器件M轉印至基板W上之輻射敏感光阻材料(簡稱為「光阻」)。
描繪七個步驟(S0至S7)之圖3涉及使用光阻之圖案化程序,以便製造諸如IC之物品。此等步驟如下:
S0:製備基板W,基板W可(例如)為矽晶圓。
S1(塗佈):將光阻溶液旋塗於基板W上以形成極薄的均一層。可在低溫下烘烤此光阻層以蒸發殘餘溶劑。
S2(曝光):經由使用微影裝置100及合適圖案化器件M曝光至EUV而在光阻層中形成潛影。
S3(顯影及蝕刻):在「正性」光阻之狀況下,藉由用適當溶劑進行沖洗來移除已曝光的光阻之區域。在「負性」光阻之狀況下,移除尚未曝光之區域。將此步驟與經由光阻圖案而對基板之處理步驟進行組合,或在此步驟之後進行經由光阻圖案而對基板之處理步驟。圖式中之術語「蝕刻」僅係用作一實例。處理步驟可包括濕式或乾式蝕刻、起離、摻雜,等等。藉由無論何種程序,經施加圖案變得在基板上的材料之添加、移除或改質中得以體現。該說明展示材料之移除,此為沈積步驟S5作準備。
S4(剝離):自經圖案化基板W移除剩餘光阻。
S5(沈積):沈積不同物質以填充基板W之圖案。
S6(拋光):藉由拋光自晶圓W表面移除過量物質,從而 在晶圓W內僅留下所要圖案。
在不同圖案及不同處理步驟的情況下重複S1至S6步驟,以創製在基板W之原始表面上方及下方之不同層中具有所要圖案的功能特徵,直至產出最終多層產品為止(步驟S7)。該產品通常(但未必)為諸如積體電路之半導體器件。
如所論述,熟習此項技術者應瞭解,步驟S4至S6僅僅為可應用於藉由經曝光光阻判定之圖案中之程序的一個實例。許多不同類型之步驟可且將用於不同生產階段中。舉例而言,可使用光阻以控制下層材料之改質,而非蝕刻掉下層材料。舉例而言,改質可為氧化、摻雜(例如,藉由擴散)或離子植入。可將新材料層沈積於基板之頂部上。在許多程序中,感光性光阻僅僅為生產所謂「硬式光罩」時之中間階段,該「硬式光罩」在不同材料中再現經曝光圖案。接著使用此硬式光罩以控制一程序步驟,該程序步驟蝕刻或改質具有所要圖案之下層材料,但將不受到感光性光阻自身控制。因此,取決於所探尋之所得圖案以及建置成品器件所需要之層及中間步驟之序列,上文所提及之程序可變化;一些步驟被組合及/或移除且一些額外步驟被添加。
當考慮適合用於低於11奈米(藉由6.x奈米之波長進行例示)之EUV微影程序中的光阻時,本發明之發明人已識別待考慮之各種挑戰。
當在EUV微影中考慮6.x技術時,在光阻中待獲得之特徵之縱橫比(高度/寬度)應為至多3(理想地為2),且因此, 待考慮之光阻膜之厚度減低至約10奈米至約100奈米之範圍。此係歸因於投影於光阻上之影像之聚焦深度的縮減。因此,需要較薄光阻膜。然而,歸因於(例如)厚度變化及微通道形成,在較薄膜的情況下可能不可達成光阻之保護作用且尤其是可能不可達成光阻之抗蝕刻性。較薄膜可導致橫越該膜之厚度變化增加。此情形又可具有對提供至半導體器件上之後續材料層之有害影響。此外,在較薄膜中微通道之形成可到達基板且使基板易受蝕刻程序。此情形將導致光阻不滿足最小效能要求。
EUV輻射係藉由光阻材料吸收且產生光電子及二次電子。二次電子引起某隨機曝光,該曝光疊置至藉由EUV源施加於光阻上之光學影像。此情形又導致被稱作「模糊」現象之解析度損失、可觀測線邊緣粗糙度及線寬變化。若光阻係產出在EUV微影中所需要之高解析度,則將需要控制此模糊。
當光阻材料之物理屬性不能在足夠程度上抵制在沖洗液體之乾燥期間施加於圖案上之毛細管力或排斥力時會發生圖案崩潰。因此,需要光阻材料之高剛度或強度,以及圖案之特徵之縮減縱橫比。
舉例而言,導致光阻之解析能力之變動的其他程序參數為抗蝕刻性及蝕刻選擇性、量子產率、烘烤時間及溫度、曝光時間及源輸出、空中影像聚焦,以及顯影時間及溫度。當然,高度地需要特定光阻允許此等特定參數之某變動程度之能力以能夠在指定容限內工作。
EUV光阻-背景 有機光阻
傳統有機光阻係以聚氫苯乙烯為基礎,諸如,PBS(聚(丁烯-1-碸)),及ZEP(聚(a-氯丙烯酸甲酯-共-a-甲基苯乙烯))。此等以聚氫苯乙烯為基礎之樹脂適應於大於180奈米之圖案。作為365奈米(i線)至248奈米(KrF)之歷史性改變之部分,已開發化學放大型光阻(CAR)樹脂。此等樹脂亦係結合193奈米(ArF)乾式及浸潤微影予以使用。儘管該等樹脂未經設計成用於EUV,但該等樹脂之良好效能已導致該等樹脂由於其高敏感性及對比度、高解析度、抗乾式蝕刻性、水性顯影以及程序寬容度而用於13奈米至14奈米技術中。
在此程序中,存在於樹脂上之化學部分在輻射曝光後隨即釋放酸基。此等酸基在曝光後烘烤步驟期間擴散,且致使周圍聚合物可溶於顯影劑中。酸擴散幫助增加光阻之敏感性及產出率,且亦幫助歸因於散粒雜訊統計而限制線邊緣粗糙度。然而,酸擴散長度自身為潛在限制因素。另外,過多擴散可縮減化學對比度,此情形可再次導致更多粗糙度。KRS-XE為由IBM開發之CAR且具有高對比度,且係基於使用消除針對曝光後烘烤之需要之乙縮醛保護基。
美國專利申請公開案第2004/0241574號描述含有矽或硼之CAR。此等CAR被描述為歸因於在精選之EUV波長下該等CAR相比於純碳基聚合物之高透明度而為極合適光阻, 該EUV波長在美國專利申請公開案第2004/0241574號中被揭示為高於12.5奈米。本發明之發明人已認識到,指示在材料與輻射之間無相互作用之高透明度特性對於使用小於11奈米之EUV之微影程序係不良的,且需要使用具有低模糊的薄得多之光阻膜,如上文所論述。
無機光阻
光阻亦可由無機材料(諸如,各種金屬氧化物)製成。無機光阻可歸因於其強度而呈現增加之抗模糊性以及抗圖案崩潰性。Stowers等人之「Directly patterned inorganic hardmask for EUV lithography」(SPIE學報,第7969卷,第796915至796915-11頁(2011年))描述使用組合至過氧化錯合劑之氧化鉿硫酸鹽以形成負性光阻。曝光至EUV會導致破壞過氧化物基團之鍵之二次電子的產生。因此產生活性金屬位點,該等位點起反應而產生交聯且凝聚之區域。使用諸如TMAH(四甲基銨氫氧化物)之溶劑來移除未經曝光區。
用於次11奈米EUV微影之光阻之選擇
因此,高度地需要提供可滿足使用小於11奈米且特定是6.x奈米之波長之EUV光微影之需求的光阻材料。現在已發現,(i)含矽有機聚合物以及(ii)含有Ta、W、Re、Os、Ir、Ni、Cu或Zn或其混合物之化合物可特別有利,且提供歸因於光產生電子之縮減平均自由路徑而具有高吸收及較低模糊之薄光阻膜。因此,根據本發明之光阻材料之平均自由路徑為約2奈米,而當前所使用之光阻材料之平均自由路 徑為約7奈米。
實例1:含矽聚合物
根據本發明之一實施例,合適的含矽聚合物可包含約0.1 wt%至約50 wt%之矽。舉例而言,可藉由用矽烷基來替換已知CAR之一些烷基而獲得此等聚合物。合適的已知CAR可包括供EUV微影程序使用之任何已知聚合物光阻材料,諸如,KRS或聚羥基苯乙烯光阻。
合適的矽烷基包含烷基矽烷基單體,諸如,三甲基矽烷基(CH3)3Si-;但亦可包含矽二聚體或聚合物,諸如,五(二甲基二矽烷基)(CH3)3Si-(CH3)2Si-。較佳地,烷基矽烷基包含1個至20個碳原子,較佳為1個至10個碳原子。亦可能有利的是,矽烷基包含1個以上之矽原子,較佳為2個以上之矽原子且有利地為4個矽原子。
根據本發明之一特定所要含矽聚合物含有以下結構: 其中x為在1至400(例如,20至200)之範圍內之整數,y為在0至400(例如,0或20至200)之範圍內之整數,且R1、R2及R3各自為C1至C20烷基矽烷基。在一實施例中,R1、R2及R3中僅一者為如上文所定義之烷基矽烷基,且剩餘基團為H或碳基部分,諸如,C1至C20烷基、芳基或烷氧基。
本發明之實施例之含矽聚合物可藉由任何已知技術製 造。舉例而言,該等含矽聚合物可藉由使含矽單體與其自身或其他單體或聚合物共聚合而製造。此等反應可藉由對諸如過氧化苯甲醯、過硫酸銨或偶氮二異丁腈之特定引發劑之UV或伽瑪輻射所產生的自由基而引發,或藉由使用自(例如)BF3或TiCl4衍生之反應性離子而引發。或者,可藉由經由使用諸如三甲基矽烷、二甲基苯矽烷及二甲基乙基矽烷之矽烷之矽氫化反應對光阻聚合物進行矽氫化來引入矽基。
使用諸如旋塗之熟知技術將光阻材料塗佈至所要基板上。經沈積層之厚度有利地在10奈米至100奈米之範圍內,且可小於50奈米或甚至小於30奈米。
圖4在跡線(a)至(e)中展示橫越4奈米至16奈米之EUV波長範圍的各種候選材料之透射特性。跡線(a)對應於聚(羥基苯乙烯)或PHS,其為通常用於許多光阻材料中之有機材料。在跡線(b)至(e)中亦展示所提議之新化合物之透射特性,在該等新化合物中,羥基苯乙烯之羥基部分已經各種部分矽烷化。已使用Center for X-Ray Optics之「濾光器透射(filter transmission)」工具來計算此等特性,該工具可易在http://henke.lbl.gov/optical_constants/filter2.html處公開得到,且又係基於可經由文件庫及手冊獲得的基於100奈米之層厚度之公佈材料。對於較薄層,透射T將增加接近100%,此意謂:對於較薄層,吸收減低。
因為EUV輻射之吸收為光阻之輻射敏感性之條件,所以吸收為在給定波長下潛在光阻之敏感性之良好指示符。圖 4清楚地展示出,對於高於12奈米之EUV,含矽材料展示高透明度(亦即,PHS之透射為約70%相對於-Si(CH3)3之透射為約77%、Si2(CH3)5之透射為約79%、Si3(CH3)7之透射為約80%、Si4(CH3)9之透射為約81%)。然而,對於具有小於11奈米之波長之EUV,含矽材料之吸收顯著地增加,而PHS材料之吸收繼續穩定地減低。
儘管矽材料之吸收最終在低於約10.5奈米至9.5奈米(取決於含矽材料)之EUV波長下再次減低,但該等矽材料之吸收保持實質上高於無矽PHS之吸收。因此,此等含矽材料更適合用作小於11奈米之EUV之光阻。在6.9奈米下,-Si(CH3)3之透射特性為84%、Si2(CH3)5之透射特性為79%、Si3(CH3)7之透射特性為77%、Si4(CH3)9之透射特性為75%,而PHS之透射特性高於90%。此外,此等特性證明此等含矽聚合物針對6.x EUV微影技術之合適性。
自跡線(b)至(e),每單體的矽原子之數目亦顯得與含有該等矽原子之聚合物之吸收特性相關。圖5更明確地說明此相依性。儘管對於13.5奈米之EUV,矽原子之數目愈高,吸收愈低,但對於6.5奈米之EUV,狀況並非如此。在後一狀況下,吸收出乎意料地隨著存在於單體中之矽原子之數目增加而增加。因此,需要具有包含至少兩個、理想地高於2個且更理想地為4個矽原子之含矽單體。
實例2:含Ta、W、Re、Os、Ir、Ni、Cu及Zn材料針對小於11奈米之EUV作為EUV光阻
含有以上元素之化合物且特定是合適氧化物適合在光阻 製造中使用。對於由純元素製成且在以下表I所示之膜,此使用係藉由針對以上元素之6.5奈米之輻射的透射百分比進行示範。
表I中之透射值來自可在http://henke.lbl.gov/optical_constants/filter2.html處得到且基於經校閱之文章及手冊的CXRO資料庫。出於比較起見,上文所提及的Stowers之論文中所研究之Hf相比於上文所列出之元素中任一者在6.5奈米下具有較低吸收,藉由在30奈米之膜厚度及5奈米之膜厚度下分別為41.89%及86.5%之透射圖指示。
此等高吸收值將藉由併入所討論之元素之光阻材料展現。又,此無機材料之使用將最小化模糊且縮減歸因於該材料之增加強度之圖案崩潰。該化合物將有利地為此等元素中之一者之氧化物,諸如,五乙氧基鉭。該化合物亦可為各種氧化物之混合物。合適氧化物亦可包含一種以上元素(亦即,混合金屬氧化物)。可將該材料提供為溶膠凝膠塗層,且旋塗或真空沈積至基板上。Ta、W及Zn特別適合於溶膠凝膠塗層。金屬氧化物可溶解於比如乙醇、丙醇、 丁醇或其混合物之簡單的無水醇中。濃度可有利地在0.1 wt%至5 wt%之範圍內。可添加防止早期水解之螯合劑。舉例而言,此螯合劑可為通常呈等莫耳濃度的具有酮或二酮基之有機分子(例如,苯甲醯丙酮)。在6.x奈米EUV曝光之後,藉由使未經曝光材料溶解於無水醇中來顯影光阻。
根據本發明之特定實施例,可使用五乙氧基鉭{Ta(OC2H5)5}來獲得鉭溶膠-凝膠。可在比如乙醇、丙醇、丁醇或其混合物之簡單的無水醇中製造溶液。濃度範圍係介於0.1 wt%與5 wt%之間。可以等莫耳濃度添加螯合劑苯甲醯丙酮以防止早期水解。以在1000 RPM至5000 RPM之範圍內之速度來旋塗所得混合物。在6.x奈米曝光之後,藉由使未經曝光之光阻材料溶解於無水醇中來顯影溶膠-凝膠。
不同光阻可用於不同程序步驟中,正如不同EUV波長及甚至非EUV波長可用於總器件製造程序中較不具決定性之圖案化步驟。上文所介紹之不同光阻類型可在次11奈米之EUV波長下用於不同程序步驟中,以最佳化個別程序之效能及所製造之圖案。
在EUV微影程序中使用所描述光阻可用於製造積體電路且用於其他應用,諸如,用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可將本文對術語「晶圓」或「晶粒」之任何使用分別視為與更通用之術語「基板」或 「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將光阻層施加至基板且顯影經曝光光阻之工具)、度量衡工具及/或檢測工具中處理本文所提及之基板。另外,可將基板處理一次以上,例如,以便產生多層IC,使得本文所使用之術語「基板」亦可指代已含有多個經處理層之基板。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明之光阻材料可用於包含具有多個層之光阻之圖案化程序中,以便保護及/或增強本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
21‧‧‧輻射光束
22‧‧‧琢面化場鏡面器件
24‧‧‧琢面化光瞳鏡面器件
26‧‧‧經圖案化光束
28‧‧‧反射元件
30‧‧‧反射元件
100‧‧‧微影裝置
210‧‧‧極紫外線輻射發射電漿/極熱電漿
211‧‧‧源腔室
212‧‧‧收集器腔室
220‧‧‧圍封結構
221‧‧‧開口
230‧‧‧氣體障壁/污染物截留器/污染截留器/污染物障壁
240‧‧‧光柵光譜濾光器
251‧‧‧上游輻射收集器側
252‧‧‧下游輻射收集器側
253‧‧‧掠入射反射器
254‧‧‧掠入射反射器
255‧‧‧掠入射反射器
B‧‧‧輻射光束
C‧‧‧目標部分
CO‧‧‧輻射收集器/收集器光學件
IF‧‧‧虛擬源點/中間焦點
IL‧‧‧照明系統/照明器
M‧‧‧圖案化器件
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化器件
MT‧‧‧支撐結構
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PS1‧‧‧位置感測器
PS2‧‧‧位置感測器
PW‧‧‧第二定位器
SO‧‧‧源收集器模組
W‧‧‧基板/晶圓
WT‧‧‧基板台
圖1示意性地描繪用於本發明之實施例中的微影裝置之功能元件;圖2為圖1之裝置的更詳細視圖;圖3描繪根據本發明之一實施例的使用光阻之圖案化程序之各種步驟;圖4展示本發明之實施例之各種含矽聚合物相比於已知光阻的EUV透射曲線;及圖5展示本發明之一實施例之聚合物之單體單元在6.5奈米及13.5奈米下的透射曲線,該等透射曲線取決於該等單體單元所含有之矽原子(若存在)之數目。

Claims (13)

  1. 一種用具有小於11奈米之一波長之EUV光之一經圖案化光束輻照一光阻材料之一光阻膜的方法,該光阻材料包含選自由如下各者組成之群組之至少一元素之一氧化物:Ta、W、Re、Os、Ir、Ni、Cu及Zn。
  2. 如請求項1之方法,其中該波長係在5奈米至8奈米之範圍內。
  3. 如請求項1之方法,其中該光阻材料係作為一膜沈積至一基板上,且其中該膜具有在10奈米至100奈米之範圍內之厚度。
  4. 如請求項1之方法,其中該元素為鉭。
  5. 一種光微影圖案化程序,其包含:在一基板上形成一光阻材料之一光阻膜;用小於11奈米之一波長之EUV光之一經圖案化輻射光束來輻照該光阻膜;及顯影該光阻膜;其中該光阻材料包含選自由如下各者組成之群組之至少一元素之一氧化物:Ta、W、Re、Os、Ir、Ni、Cu及Zn。
  6. 如請求項5之光微影圖案化程序,其中該波長係在5奈米至8奈米之範圍內。
  7. 如請求項5之光微影圖案化程序,其中該光阻膜具有在10奈米至100奈米之範圍內之厚度。
  8. 如請求項5之光微影圖案化程序,其中該元素為鉭。
  9. 一種製造器件之方法,其中藉由一序列的微影步驟及其他處理步驟將經圖案化器件特徵施加至一基板,且其中該等微影步驟中至少一者係一光微影圖案化程序,該光微影圖案化程序包括:在一基板上形成一光阻材料之一光阻膜;用小於11奈米之一波長之EUV光之一經圖案化輻射光束來輻照該光阻膜;及顯影該光阻膜;其中該光阻材料包含選自由如下各者組成之群組之至少一元素之一氧化物:Ta、W、Re、Os、Ir、Ni、Cu及Zn。
  10. 一種在用於EUV微影程序之一光阻材料中使用選自由如下各者組成之群組之至少一元素之一氧化物之方法:Ta、W、Re、Os、Ir、Ni、Cu及Zn,其中用於該程序中之EUV輻射之波長係小於11奈米。
  11. 如請求項10之方法,其中該波長係在5奈米至8奈米之範圍內。
  12. 如請求項11之方法,其中該光阻材料係作為一膜沈積至基板上,且其中該膜具有在10奈米至100奈米之範圍內之厚度。
  13. 如請求項10之方法,其中該元素為鉭。
TW101121798A 2011-07-08 2012-06-18 微影圖案化程序及使用於其中之光阻 TWI631423B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
US61/505,768 2011-07-08

Publications (2)

Publication Number Publication Date
TW201305736A TW201305736A (zh) 2013-02-01
TWI631423B true TWI631423B (zh) 2018-08-01

Family

ID=46208008

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101121798A TWI631423B (zh) 2011-07-08 2012-06-18 微影圖案化程序及使用於其中之光阻

Country Status (7)

Country Link
US (1) US9261784B2 (zh)
EP (1) EP2729844B1 (zh)
JP (2) JP6236000B2 (zh)
KR (2) KR101909567B1 (zh)
CN (2) CN108594599B (zh)
TW (1) TWI631423B (zh)
WO (1) WO2013007442A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9703197B2 (en) * 2013-09-26 2017-07-11 National Institute For Materials Science High-sensitivity multilayer resist film and method of increasing photosensitivity of resist film
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
WO2015127353A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
FR3023843B1 (fr) 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
KR102139060B1 (ko) * 2015-09-30 2020-07-29 후지필름 가부시키가이샤 레지스트 조성물과, 이를 이용한 레지스트막, 패턴 형성 방법 및 전자 디바이스의 제조 방법
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230051769A (ko) * 2020-07-17 2023-04-18 램 리써치 코포레이션 탄탈륨을 함유하는 포토레지스트들
KR20230162611A (ko) * 2021-03-26 2023-11-28 제이에스알 가부시끼가이샤 반도체 기판의 제조 방법 및 레지스트 하층막 형성용 조성물

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
US20040241579A1 (en) * 2003-05-27 2004-12-02 Shin-Etsu Chemical Co., Ltd. Positive resist material and pattern formation method using the same
TW200510955A (en) * 2003-05-21 2005-03-16 Asml Netherlands Bv Method for coating a substrate for EUV lithography and substrate with photoresist layer
CN1737687A (zh) * 2004-07-28 2006-02-22 因芬尼昂技术股份公司 超紫外线光刻的多层镜射保护

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5837980B2 (ja) 1975-12-30 1983-08-19 富士通株式会社 フオトエツチングホウホウ
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
DE69125634T2 (de) * 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JP2953252B2 (ja) * 1993-01-19 1999-09-27 信越化学工業株式会社 レジスト材料
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
FR2759360B1 (fr) * 1997-02-10 1999-03-05 Commissariat Energie Atomique Materiau polymerique inorganique a base d'oxyde de tantale notamment a indice de refraction eleve, mecaniquement resistant a l'abrasion, son procede de fabrication et materiau optique comprenant ce materiau
TW574629B (en) * 1997-02-28 2004-02-01 Shinetsu Chemical Co Polystyrene derivative chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
JP3299214B2 (ja) 1999-03-12 2002-07-08 松下電器産業株式会社 パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
US6849305B2 (en) * 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
JP2004525506A (ja) * 2001-02-05 2004-08-19 クァンティスクリプト・インコーポレーテッド X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
JP2004519734A (ja) 2001-04-04 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド ケイ素含有アセタール保護ポリマーおよびそのフォトレジスト組成物
JP4055543B2 (ja) * 2002-02-22 2008-03-05 ソニー株式会社 レジスト材料及び微細加工方法
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
JP4595688B2 (ja) 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
TW200736834A (en) * 2005-12-27 2007-10-01 Kansai Paint Co Ltd Active energy ray-curable resin composition and method for forming resist pattern
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
KR100787450B1 (ko) * 2006-06-20 2007-12-26 삼성에스디아이 주식회사 감광성 페이스트 조성물 이를 이용하여 제조된 플라즈마디스플레이 패널의 격벽 및 이를 포함하는 플라즈마디스플레이 패널
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20100255427A1 (en) * 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal photo-sensitive layer and process
CN101963754B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
TW200510955A (en) * 2003-05-21 2005-03-16 Asml Netherlands Bv Method for coating a substrate for EUV lithography and substrate with photoresist layer
US20040241579A1 (en) * 2003-05-27 2004-12-02 Shin-Etsu Chemical Co., Ltd. Positive resist material and pattern formation method using the same
CN1737687A (zh) * 2004-07-28 2006-02-22 因芬尼昂技术股份公司 超紫外线光刻的多层镜射保护

Also Published As

Publication number Publication date
JP2018025823A (ja) 2018-02-15
JP6236000B2 (ja) 2017-11-22
KR102009869B1 (ko) 2019-08-12
US20140212819A1 (en) 2014-07-31
KR101909567B1 (ko) 2018-10-18
WO2013007442A1 (en) 2013-01-17
EP2729844B1 (en) 2021-07-28
KR20180099913A (ko) 2018-09-05
CN103649830A (zh) 2014-03-19
KR20140047120A (ko) 2014-04-21
JP2014521111A (ja) 2014-08-25
JP6637943B2 (ja) 2020-01-29
TW201305736A (zh) 2013-02-01
CN108594599B (zh) 2022-04-22
CN108594599A (zh) 2018-09-28
CN103649830B (zh) 2018-06-01
US9261784B2 (en) 2016-02-16
EP2729844A1 (en) 2014-05-14

Similar Documents

Publication Publication Date Title
JP6637943B2 (ja) リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
TWI394012B (zh) 微影裝置及元件製造方法
TW201539539A (zh) 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
US20070072097A1 (en) Substrate, method of exposing a substrate, machine readable medium
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
TW201541194A (zh) 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術
JP2008198788A (ja) レジストパターン形成方法
De Simone et al. Progresses and challenges of EUV lithography materials
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US20120154779A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US20200041892A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US20120182537A1 (en) Spectral purity filter, lithographic apparatus, and device manufacturing method
NL2007857A (en) Lithographic patterning process and resists to use therein.
TW201337470A (zh) 輻射源與用於微影裝置及元件製造之方法
Roncaglia Advanced Lithography
Gupta et al. Pattern Generation
NL2004994A (nl) Multilayer mirror.