KR102009869B1 - 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트 - Google Patents

리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트 Download PDF

Info

Publication number
KR102009869B1
KR102009869B1 KR1020187024586A KR20187024586A KR102009869B1 KR 102009869 B1 KR102009869 B1 KR 102009869B1 KR 1020187024586 A KR1020187024586 A KR 1020187024586A KR 20187024586 A KR20187024586 A KR 20187024586A KR 102009869 B1 KR102009869 B1 KR 102009869B1
Authority
KR
South Korea
Prior art keywords
radiation
resist
euv
resist material
substrate
Prior art date
Application number
KR1020187024586A
Other languages
English (en)
Other versions
KR20180099913A (ko
Inventor
산더 부이스터
안드레이 야쿠닌
블라디미르 크리브트선
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180099913A publication Critical patent/KR20180099913A/ko
Application granted granted Critical
Publication of KR102009869B1 publication Critical patent/KR102009869B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

리소그래피 공정은 EUV 리소그래피 공정을 위해 레지스트 재료에 실리콘-함유 중합체 또는 Ta, W, Re, Os, Ir, Ni, Cu, 또는 Zn으로 구성된 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 화합물의 사용을 포함한다. 상기 공정에 사용되는 EUV 광의 파장은 11 nm 미만, 예를 들어 6.5 내지 6.9 nm이다. 또한, 본 발명은 신규한 실리콘-함유 중합체들에 관한 것이다.

Description

리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트{LITHOGRAPHIC PATTERNING PROCESS AND RESISTS TO USE THEREIN}
본 출원은 2011년 7월 8일에 출원된 미국 가출원 61/505,768의 이익을 주장하며, 이는 전문이 본 명세서에서 인용 참조된다.
본 발명은 리소그래피 목적을 위해 특정 실리콘-함유 중합체(silicon-containing polymers) 또는 특정 금속을 포함하는 화합물의 용도에 관한 것이며, 또한 이러한 중합체 또는 화합물을 이용하는 신규한 패터닝 방법 또는 공정에 관한 것이다. 또한, 본 발명은 본질적으로 실리콘-함유 중합체에 관한 것이며, 또한 11 nm 미만의 파장을 방출하는 극자외(EUV) 방사선을 수반하는 리소그래피 공정에서 레지스트로서 실리콘-함유 중합체(silicon-containing polymers) 또는 특정 금속을 포함하는 화합물의 용도에 관한 것이다.
포토리소그래피에서, 기판 상으로, 통상적으로는 기판의 타겟부 상으로 원하는 패턴이 적용된다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼)의 타겟부 상으로 전사(transfer)될 수 있다. 통상적으로, 패턴의 전사는 기판에 제공된, 통상적으로는 레지스트라고 칭해지는 방사선-감응재 층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피는 IC 및 다른 디바이스들 및/또는 구조체들을 제조하는 데 있어서 핵심 단계들 중 하나로서 널리 인식되어 있다. 하지만, 리소그래피를 이용하여 만들어진 피처들의 치수들이 더 작아짐에 따라, 리소그래피는 소형 IC 또는 다른 디바이스들 및/또는 구조체들이 제조될 수 있게 하는 더 결정적인 인자가 되고 있다. 패턴 프린팅의 한계들의 이론적 추정은 수학식 (1)로 나타낸 바와 같은 분해능(resolution)에 대한 레일리 기준(Rayleigh criterion)에 의해 설명될 수 있다:
Figure 112018084625806-pat00001
(1)
여기서, λ는 사용되는 방사선의 파장이고, NA는 패턴을 프린트하는 데 사용되는 투영 시스템의 개구수(numerical aperture)이며, k1은 레일리 상수라고도 칭하는 공정 의존성 조정 인자이고, CD는 프린트된 피처의 피처 크기(또는 임계 치수)이다. 수학식 (1)에 따르면, 피처들의 프린트가능한 최소 크기의 감소는 세 가지 방식으로, 즉 노광 파장 λ를 단축시키거나, 개구수 NA를 증가시키거나, k1의 값을 감소시킴으로써 얻어질 수 있다.
노광 파장을 단축시키고, 이에 따라 프린트가능한 최소 크기를 감소시키기 위해, 극자외(EUV) 방사선 소스를 사용하는 것이 제안되었다. EUV 방사선은 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위 내의 파장을 갖는 전자기 방사선이다. 이러한 방사선은 때때로 소프트(soft) x-레이 방사선이라고도 칭해진다. EUV 방사선은 플라즈마를 이용하여 생성될 수 있다. EUV 방사선을 생성하는 방사선 시스템은 플라즈마를 제공하기 위해 연료를 여기(excite)시키는 레이저, 및 플라즈마를 수용하기 위한 소스 컬렉터 모듈을 포함할 수 있다. 플라즈마는, 예를 들어 적합한 재료(예를 들어, 주석)의 입자와 같은 연료, 또는 Xe 가스 또는 Li 증기와 같은 적합한 가스 또는 증기의 스트림에 레이저 빔을 지향시킴으로써 생성될 수 있다. 이러한 방사선 시스템은 통상적으로 레이저 생성 플라즈마(LPP) 소스라고도 칭해진다. 대안적인 소스들은 방전 플라즈마 소스(discharge plasma source), 또는 전자 저장 링(electron storage ring)에 의해 제공된 싱크로트론 방사선(synchrotron radiation)에 기초한 소스들을 포함한다.
EUV 리소그래피에서, 파장의 선택은 적합한 방사선 소스들, 광학 구성요소들 및 공정 재료들의 이용가능성(availability)을 수반하는 실제적 고려사항들에 의해 제한될 수 있다. 현재의 EUV 리소그래피 시스템들은 모두 13 내지 14 nm의 범위 내의 방사선 파장을 이용하여 작동하며, EUV 리소그래피가 대량 생산에 사용되기 전에 이루어져야 할 다수의 개발과제들이 남아 있다. 또한, 11 nm 미만의 파장을 갖는 EUV 방사선이, 예를 들어 5 내지 10 nm 또는 5 내지 8 nm, 및 특히 소위 6.5 내지 6.9 nm, 예를 들어 6.7 또는 6.8 nm의 '6.x' 파장 영역에 사용될 수 있음이 제안되었다. 이는, 현재 사용되고 있는 13.5 nm 방사선에 비해, 더 짧은 파장이 더 양호한 분해능[11 nm 노드(node) 이하의 피처들], 더 큰 초점심도(depth of focus) 및 더 높은 스루풋을 제공할 수 있도록 의도한다. 하지만, 파장의 변화는 새로운 범위의 실제적 고려사항들을 가져오며, 13.5 nm에 최적화된 기술들 및 재료들은 더 짧은 파장들에서 작동하거나 작동하지 않을 수 있다.
상업적 EUV 리소그래피의 개발에 대한 특정 과제는 에칭-저항성 재료(etch-resistant material)에 EUV 광학 시스템에 의해 투영되는 고분해능 패턴을 실현할 방사선 감응성 레지스트 재료들의 조성물(formulation)에 달려 있다. 어떤 연구는 13.5 nm에서 사용가능한 레지스트 재료들의 개발에 관해 다루었다. 본 발명자들은 매우 상이한 해결책들이 11 nm 미만의 더 짧은 파장들에서 사용하기에 적합할 수 있음을 알게 되었다.
본 발명의 목적은 레지스트 필름 조사 방법, 포토리소그래피 패터닝 공정, 실리콘-함유 중합체, 및 디바이스 제조 방법을 제공하려는 것이다.
본 발명의 일 실시형태에 따르면, EUV 리소그래피 공정을 위해 레지스트 재료에 (i) 실리콘-함유 중합체 또는 (ii) 다음의 원소들: Ta, W, Re, Os, Ir, Ni, Cu, 또는 Zn 중 적어도 하나를 포함하는 화합물의 용도가 제공되며, 상기 공정에 사용되는 EUV 방사선의 파장은 11 nm 미만이다. 상기 파장은 5 내지 8 nm 범위, 예를 들어 6.5 내지 6.9 nm 범위, 예를 들어 약 6.7 또는 6.8 nm일 수 있다.
일 실시예에서, 레지스트 재료는 기판 상으로 필름으로서 증착되며, 상기 필름은 10 내지 100 nm, 예를 들어 50 nm 미만, 또는 심지어 30 nm 미만 범위의 두께를 갖는다. 이러한 방식으로, 피처 폭에 대한 레지스트 높이의 비는 3 미만, 2.5 미만, 또는 2 미만으로 유지될 수 있다.
본 발명의 일 실시형태에 따르면, 앞서 설명된 바와 같은 재료를 이용하여 기판에 레지스트 재료의 필름을 형성하는 단계, 11 nm 미만의 파장의 EUV 광의 패터닝된 방사선 빔으로 레지스트 필름을 조사하는 단계, 및 상기 레지스트 필름을 현상하는 단계를 포함하는 포토리소그래피 패터닝 공정이 제공된다.
본 발명의 일 실시형태에 따르면, 디바이스를 제조하는 방법이 제공되며, 리소그래피 및 다른 처리 단계들의 시퀀스에 의하여 패터닝된 디바이스 피처들이 기판에 적용되고, 리소그래피 단계들 중 적어도 하나는 앞서 설명된 바와 같은 본 발명의 일 실시형태에 따른, 레지스트로서 재료의 용도를 포함하는 포토리소그래피 패터닝 공정이다.
본 발명의 일 실시형태에 따르면, 11 nm 미만의 파장을 갖는 EUV 광의 패터닝된 빔으로 레지스트 재료의 레지스트 필름을 조사하는 방법이 제공되며, 레지스트 재료는 실리콘-함유 중합체, 및 다음의 원소들: Ta, W, Re, Os, Ir, Ni, Cu, 또는 Zn 중 적어도 하나를 포함하는 화합물 중 적어도 하나를 포함한다.
본 발명의 일 실시형태에 따르면, 다음의 식을 갖는 단량체를 포함하는 실리콘-함유 중합체가 제공되며:
Figure 112019000983475-pat00002
여기서, R은 C1 내지 C20 알킬실릴 기 또는 다음의 식을 갖는 기이다:
Figure 112018084625806-pat00003
여기서, R1, R2, 및 R3는 각각 C1 내지 C20 알킬실릴 기이다.
실리콘-함유 중합체는 포토리소그래피 공정에서 레지스트로서 사용될 수 있다. 본 발명의 이해를 돕기 위해, 특정 예시들 및 이의 변형들이 아래에 자세히 설명되어 있다.
이제, 본 발명의 실시예들은 첨부한 개략적인 도면들을 참조하여 단지 예시의 방식으로 설명될 것이다:
도 1은 본 발명의 실시예들에서 사용하기 위해 리소그래피 장치의 기능적 요소들을 개략적으로 도시한 도면;
도 2는 도 1의 장치의 상세도;
도 3은 본 발명의 일 실시예에 따른 레지스트를 이용하여 패터닝 공정의 다양한 단계들을 도시한 도면;
도 4는 알려진 레지스트와 비교하여 본 발명의 실시예들의 다양한 실리콘-함유 중합체들의 EUV 투과 곡선들을 도시한 도면; 및
도 5는 (만약, 존재한다면) 단량체 유닛(monomer units)이 함유하고 있는 실리콘 원자들의 개수에 따른, 본 발명의 일 실시예의 중합체의 단량체 유닛의 6.5 nm 및 13.5 nm에서의 투과 곡선들을 나타낸 도면이다.
도 1은 본 발명의 일 실시예에 따른 디바이스 제조 공정의 실시예들에서 사용하기 위한 리소그래피 장치(100)를 개략적으로 도시한다. 상기 장치는: 소스 컬렉터 모듈(SO); 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 이의 여하한의 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 그리고 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스(MA)를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
"패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스의 특정 기능 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스들의 예시로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 다양한 하이브리드(hybrid) 마스크 타입들뿐만 아니라 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 이용하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
조명 시스템과 같이 투영 시스템은 사용되는 노광 방사선에 대하여 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 이의 여하한의 조합과 같은 다양한 타입의 광학 구성요소들을 포함할 수 있다. 다른 가스들이 너무 많은 방사선을 흡수할 수 있기 때문에 EUV 방사선에 대해 진공을 이용하는 것이 바람직할 수 있다. 그러므로, 진공 벽 및 진공 펌프들의 도움으로 전체 빔 경로에 진공 환경이 제공될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 반사 마스크를 이용하는) 반사형으로 구성된다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
도 1을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 광을 생성하기 위한 방법들은 EUV 범위에서 1 이상의 방출 라인들을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 플라즈마 상태로 재료를 전환시키는 단계를 포함한다(단, 이로 제한되지 않음). 흔히 레이저 생성 플라즈마("LPP")로 칭해지는 이러한 방법에서, 요구되는 플라즈마는 요구되는 라인-방출 요소를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위해 도 1에는 도시되지 않은 레이저를 포함하는 EUV 방사선 시스템의 일부일 수 있다. 이로 인해 생성된 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, 연료 여기를 위해 레이저 빔을 제공하는 데 CO2 레이저가 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체들일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 상기 소스가 방전 생성 플라즈마 EUV 생성기(흔히, DPP 소스라고도 함)인 경우, 상기 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드(facetted field) 및 퓨필 거울 디바이스(pupil mirror device)들과 같은 다양한 다른 구성요소들을 포함할 수 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT)에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하여 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)[예를 들어, 간섭계 디바이스(interferometric device), 리니어 인코더(linear encoder) 또는 용량성 센서(capacitive sensor)]의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 본질적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 소스 컬렉터 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 장치(100)를 더 자세하게 도시한다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 인클로징 구조체(enclosing structure; 220)에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위의 방사선을 방출하기 위해 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기, 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유도하는 전기 방전에 의해 생성된다. 방사선의 효율적인 생성을 위해서는 Xe, Li, Sn 증기 또는 여타 적합한 가스 또는 증기의, 예를 들어 10 Pa의 분압이 요구될 수 있다. 일 실시예에서는, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출되는 방사선은 소스 챔버(211)의 개구부 안이나 뒤에 위치되는 선택적 가스 방벽 또는 오염물 트랩(optional gas barrier or contaminant trap: 230)[몇몇 경우에는, 오염물 방벽 또는 포일 트랩(foil trap)이라 지칭되기도 함]을 통해 소스 챔버(211)로부터 컬렉터 챔버(212) 내로 전달된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 또한, 본 명세서에 개시된 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에서 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 방사선 컬렉터(CO)를 포함할 수 있으며, 이는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있다. 방사선 컬렉터(CO)는 상류 방사선 컬렉터 측(251) 및 하류 방사선 컬렉터 측(252)을 갖는다. 컬렉터(CO)를 가로지르는(traverse) 방사선은 격자 스펙트럼 필터(240)에 의해 반사되어 가상 소스 지점(IF)에 포커스될 수 있다. 가상 소스 지점(IF)은 중간 포커스라고도 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 인클로징 구조체(220)의 개구부(221)에 또는 그 부근에 배치되도록 구성된다. 가상 소스 지점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 상기 조명 시스템은 패터닝 디바이스(MA)에서 방사선 세기의 원하는 균일성뿐만 아니라, 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 퓨필 거울 디바이스(24) 및 패싯 필드 거울 디바이스(22)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지된 패터닝 디바이스(MA)에서 방사선 빔(21)이 반사될 때, 패터닝된 빔(26)이 형성되며, 패터닝된 빔(26)은 투영 시스템(PS)에 의해 반사 요소들(28, 30)을 거쳐 웨이퍼 스테이지 또는 기판 테이블(WT)에 의해 유지된 기판(W) 상으로 이미징된다.
일반적으로, 조명 광학 유닛(IL) 및 투영 시스템(PS)에는 도시된 것보다 많은 요소들이 존재할 수 있다. 리소그래피 장치의 타입에 따라, 선택적으로 격자 스펙트럼 필터(240)가 존재할 수 있다. 또한, 도면들에 도시된 것보다 많은 거울들이 더 많이 존재할 수 있으며, 예를 들어 투영 시스템(PS)에는 추가 반사 요소들이 도 2에 도시된 것보다 1 내지 6 개 더 많이 존재할 수 있다.
도 2에 예시된 바와 같은 컬렉터 광학기(CO)는 컬렉터(또는 컬렉터 거울)의 일 예시로서 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O)을 중심으로 축방향 대칭으로 배치되며, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라 칭해지는 방전 생성 플라즈마 소스와 조합하여 통상적으로 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 거의 수직 입사 컬렉터 광학기(near-normal incidence collector optic: 도시되지 않음)를 이용하는 LPP 방사선 시스템의 일부분일 수 있다. LPP 시스템에서, 레이저는 연료 재료 내로 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도(electron temperatures of several 10's of eV)를 갖는 고도로 이온화된 플라즈마를 생성한다. 이러한 이온들의 탈-여기 및 재조합(de-excitation and recombination) 동안 생성된 활성 방사선(energetic radiation)은 플라즈마로부터 방출되고, 거의 수직 입사 컬렉터 광학기에 의해 수집되며, 인클로징 구조체(220)의 개구부(221) 상으로 포커스된다. 13.5 nm 파장에 대해, 크세논(Xe), 주석(Sn), 또는 리튬(Li)과 같은 연료들이 사용된다. 6.x nm 방사선의 대해, 후보들은 Gd 및 Tb, 그리고 이들의 합금 및 Gd2O3와 같은 화합물이다. 광자당 에너지는 100 eV 이상, 예를 들어 약 188 eV일 수 있다.
디바이스 제조 공정
디바이스를 제조하는 방법의 일 부분으로서 통상적인 패터닝 공정은 통상적으로 도 1 및 도 2의 장치를 이용하여 패터닝 디바이스(M)로부터 기판(W)의 방사선-감응성 레지스트 재료(짧게 '레지스트')로 패턴을 전사한다.
도 3은 IC와 같은 아이템들을 제조하기 위해 레지스트를 이용하는 패터닝 공정들에 관련된 7 개의 단계(S0 내지 S6)를 도시한다. 이 단계들은 다음과 같다:
S0: 예를 들어, 실리콘 웨이퍼일 수 있는 기판(W)이 제공된다.
S1(코팅): 매우 얇고 균일한 층을 형성하도록, 레지스트 용액이 기판(W) 상에서 스핀 코팅된다(spin coated). 이 레지스트 층은 잔여 용매를 증발시키기 위해 낮은 온도에서 베이킹될 수 있다.
S2(노광): 리소그래피 장치(100) 및 적합한 패터닝 디바이스(M)를 이용하여 EUV로의 노광을 통해 레지스트 층에 잠상(latent image)이 형성된다.
S3(현상 & 에칭): '포지티브(positive)' 레지스트의 경우, 적절한 용매로 세정(rinse)함으로써 노광된 레지스트의 영역들이 제거된다. '네거티브(negative)' 레지스트의 경우에는, 노광되지 않은 영역들이 제거된다. 이 단계는 기판에 대한 레지스트 패턴을 통한 처리 단계와 조합되거나, 이 단계 이후에 처리 단계가 후속된다. 도면에서 '에칭'이라는 용어는 단지 일 예시로서 사용된다. 처리 단계는 습식 또는 건식 에칭, 리프트-오프(lift-off), 도핑 등을 포함할 수 있다. 어떤 공정에 의해서도, 기판에 재료의 추가, 제거 또는 개질(modification)로 적용된 패턴이 구현된다. 이 예시는 증착 단계 S5를 위해 준비된 재료의 제거를 나타낸다.
S4(스트립): 나머지 레지스트는 패터닝된 기판(W)으로부터 제거된다.
S5(증착): 웨이퍼(W)의 패턴을 채우도록 상이한 물질이 증착된다.
S6(폴리싱): 폴리싱에 의해 과도한 물질이 웨이퍼(W)의 표면으로부터 제거되어, 웨이퍼(W) 내에 원하는 패턴만이 남게 된다.
최종의 다층 생성물이 산출될(delivered) 때까지(단계 S7), 기판(W)의 원래 표면의 위 및 아래의 상이한 층들에 원하는 패턴들을 갖는 기능성 피처들을 생성하도록, 상이한 패턴들 및 상이한 처리 단계들로 S1 내지 S6 단계들이 반복된다. 생성물은 통상적으로 집적 회로와 같은 반도체 디바이스이다(단, 이것으로 제한되지 않음).
설명된 바와 같이, 당업자라면, 단계들 S4 내지 S6이 노광된 레지스트들에 의해 결정된 패턴에 적용될 수 있는 공정의 일 예시에 지나지 않음을 이해할 것이다. 다수의 상이한 타입의 단계들이 상이한 생성 단계들에 사용될 수 있으며, 또한 사용될 것이다. 예를 들어, 아래 놓인 재료를 에칭하기보다는 상기 재료의 개질을 제어하기 위해 레지스트가 사용될 수 있다. 개질은, 예를 들어 확산 또는 이온 주입에 의한 도핑, 산화일 수 있다. 새로운 재료 층들이 기판의 상부에 증착될 수 있다. 다수의 공정들에서, 감광성 레지스트는 상이한 재료에 노광된 패턴을 재현하는(reproduces) 소위 '하드 마스크(hard mask)'를 생성하는 데 있어 중간 단계에 지나지 않는다. 그 후, 이 하드 마스크는 처리 단계를 제어하는 데 사용되며, 처리 단계는 아래 놓인 재료를 원하는 패턴으로 에칭 또는 개질하지만, 감광성 레지스트 자체에 의해 제어되지 않는다. 따라서, 마무리된 디바이스를 만들어 내는 데(build) 요구되는 중간 단계들 및 층들의 시퀀스 및 추구된 결과적인 패턴들에 따라, 앞서 언급된 공정이 변동될 수 있다; 몇몇 단계들이 조합 및/또는 제거되며, 몇몇 추가 단계들이 더해진다.
6.x nm 파장에 의해 예시된 11 nm 미만의 EUV 리소그래피 공정에 사용되기에 적합한 레지스트들을 고려할 때, 본 발명자들은 고려되어야 할 다양한 과제들을 인식하였다.
EUV 리소그래피에서 6.x 기술을 고려할 때, 레지스트에서 얻어질 피처들의 종횡비(aspect ratio: 높이/폭)는 최대 3, 바람직하게는 2이어야 하며, 결과적으로는 고려되어야 할 레지스트 필름의 두께는 약 10 nm 내지 약 100 nm 범위로 감소한다. 이는 레지스트에 투영되는 이미지의 초점심도의 감소에 기인한다. 결과적으로, 더 얇은 레지스트 필름이 요구된다. 하지만, 예를 들어 두께 변동 및 마이크로-채널 형성으로 인해 더 얇은 필름으로는 레지스트의 보호적 역할, 특히 에칭에 대한 저항성이 달성가능할 수 없다. 더 얇은 필름은 필름에 걸쳐 두께 변동의 증가를 초래할 수 있다. 따라서, 이는 반도체 디바이스 상으로 제공된 재료들의 후속 층들에 유해한 영향들을 줄 수 있다. 또한, 더 얇은 필름들에 마이크로-채널들의 형성이 기판에 닿을 수 있고, 에칭 공정에 그 기판이 노출될 수 있다. 이는 최소 성능 요건들을 충족시키지 않는 레지스트들을 유도할 것이다.
EUV 방사선은 레지스트 재료에 의해 흡수되고, 광전자들 및 2차 전자들을 생성한다. 2차 전자들은 EUV 소스에 의해 레지스트에 적용된 광학 이미지에 겹쳐지는 다소 무작위적 노광을 유도한다. 따라서, 이는 "블러링(blurring)" 현상으로도 칭해지는 관찰가능한 라인 에지 거칠기, 라인폭 변동, 및 분해능의 손실을 유도한다. 레지스트가 EUV 리소그래피에서 요구되는 높은 분해능을 산출해야 하는 경우, 이 블러링은 제어되어야 할 필요가 있을 것이다.
레지스트 재료의 물리적 특성들이 세정 액체(rinse liquid)의 충분한 정도의 건조 동안 패턴에 가해지는 모세관력 또는 척력(capillary or repulsion forces)을 상쇄시킬 수 없을 때, 패턴 붕괴가 일어난다. 그러므로, 패턴 피처들의 감소된 종횡비뿐만 아니라, 레지스트 재료의 높은 강성(rigidity) 또는 강도(strength)가 바람직하다.
레지스트들의 분해능 능력의 변동(variance)을 유도하는 다른 공정 파라미터들은, 예를 들어 에칭 저항성 및 선택성, 양자 수율(quantum yield), 베이킹 시간(baking time) 및 온도, 노광 시간 및 소스 출력, 에어리얼 이미지 포커스, 그리고 현상 시간 및 온도이다. 이러한 특정 파라미터들의 어느 정도의 변동을 허용하는 특정 레지스트들의 수용력(capacity)은 특정화된 허용범위 내에서 작용할 수 있는 것이 매우 바람직함은 물론이다.
EUV 레지스트들 - 배경
유기-레지스트들(Organo-resists)
통상적인 유기-레지스트들은 PBS, 폴리(부텐-1-술폰), 및 ZEP, 폴리(메틸-α-클로로아크릴레이트-co-α-메틸스티렌)과 같은 폴리하이드로스티렌계이다. 이 폴리하이드로스티렌계 수지들은 180 nm 이상의 패턴들에 적합하다(adapted). 365 nm(i-라인) 내지 248 nm(KrF)의 역사적인 변화의 일부분으로서 화학 증폭형 레지스트(Chemically Amplified Resist: CAR) 수지들이 개발되었다. 또한, 이 수지들은 193 nm(ArF) 건식 및 침지 리소그래피와 조합하여 사용된다. EUV용으로 설계되지는 않았지만, 그들의 높은 감응성 및 콘트라스트, 높은 분해능, 건식 에칭 저항성, 수성 현상(aqueous development), 및 공정 관용도(process latitude) 때문에, 그들의 양호한 성능은 그들이 13 내지 14 nm 기술에 사용되게 유도하였다.
이 공정에서, 수지들에 존재하는 화학적 잔기들은 방사선 노광 시 산성 기(acid group)들을 방출한다. 이 산성 기들은 노광-후 베이크 단계 동안 확산하며, 주변의 중합체가 현상액(developer)에 용해되게 한다. 산의 확산은 레지스트의 감응성 및 스루풋(throughput)을 증가시키는 데 도움을 주며, 또한 산탄 잡음 통계(shot noise statistics)로 인한 라인 에지 거칠기를 제한하는 데 도움을 준다. 하지만, 산의 확산 길이는 그 자체가 포텐셜 제한 인자(potential limiting factor)이다. 또한, 너무 많은 확산은 화학적 콘트라스트를 감소시킬 수 있으며, 이는 다시 더 높은 거칠기를 유발할 수 있다. KRS-XE는 IBM에 의해 개발된 CAR이고, 높은 콘트라스트를 가지며, 노광-후 베이크에 대한 요구를 없애는 아세탈 보호기(acetal protecting groups)의 사용에 기초한다.
미국 특허 출원 공개공보 2004/0241574는 실리콘 또는 붕소를 함유한 CAR를 개시한다. 이 CAR는 미국 특허 출원 공개공보 2004/0241574에 12.5 nm 이상인 것으로 개시되어 있는 선택된 EUV 파장에서, 순수 탄소계 중합체들에 비해 이의 높은 투명도로 인해 매우 적합한 레지스트로서 개시되어 있다. 본 발명의 발명자들은 높은 투명도 특성 - 이는 재료와 방사선 간의 상호작용의 부족을 나타냄 - 이 11 nm 미만의 EUV를 이용하는 리소그래피 공정들에 바람직하지 않으며, 앞서 설명된 바와 같이 낮은 블러링을 갖는 훨씬 얇은 레지스트 필름을 이용하는 것이 바람직하다는 것을 알아냈다.
무기 레지스트들
레지스트들은 다양한 금속 산화물과 같은 무기 재료로도 만들어질 수 있다. 무기 레지스트들은 그들의 강도로 인해 패턴 붕괴에 대한 저항성뿐만 아니라 블러링에 대한 증가된 저항성을 나타낼 수 있다. Stowers 외 다수의 "Directly patterned inorganic hardmask for EUV lithography"[proceedings of the SPIE, Volume 7969, pp796915-796915-11 (2011)]는 네거티브 레지스트를 형성하기 위해 퍼옥소 착화제(peroxo complexing agent)에 조합된 하프늄 옥사이드 설페이트의 사용을 개시한다. EUV로의 노출은 과산화물 그룹들의 결합을 깨는 2차 전자들의 생성을 유도한다. 이에 따라, 생성된 가교 결합 및 응축 영역들과 반응하는 활성 금속 자리들(Active metal sites)이 생성된다. 노출되지 않은 영역은 TMAH(테트라메틸아모늄 하이드록사이드)와 같은 용매를 이용하여 제거된다.
서브-11 nm EUV 리소그래피용 레지스트들의 선택
그러므로, 11 nm 미만, 특히 6.x nm의 파장을 이용하는 EUV 포토리소그래피의 요구들을 충족시킬 수 있는 레지스트 재료를 제공하는 것이 매우 바람직하다. 또한, (i) 실리콘-함유 유기 중합체, 그리고 (ii) Ta, W, Re, Os, Ir, Ni, Cu 또는 Zn, 또는 이들의 혼합물을 포함하는 화합물이 특히 바람직할 수 있으며, 광-생성 전자들(photo-generated electrons)의 감소된 평균 자유 경로로 인해 높은 흡수율과 낮은 블러현상을 갖는 얇은 레지스트 필름들을 제공할 수 있다는 것이 밝혀졌다. 따라서, 본 발명에 따른 레지스트 재료의 평균 자유 경로는 약 2 nm인 한편, 현재 사용되는 레지스트 재료들의 평균 자유 경로는 약 7 nm이다.
예시 1: 실리콘-함유 중합체들
본 발명의 일 실시예에 따르면, 적합한 실리콘-함유 중합체들은 약 0.1 중량% 내지 약 50 중량% 실리콘을 포함할 수 있다. 예를 들어, 이러한 중합체들은 알려진 CAR들의 일부 알킬 기들을 실릴 기들로 대체함으로써 얻어질 수 있다. 적합한 알려진 CAR들은 KRS 또는 폴리하이드록시스티렌 레지스트들과 같이, EUV 리소그래피 공정들과 함께 사용되는 여하한의 알려진 중합체 레지스트 재료를 포함할 수 있다.
적합한 실릴 기들은 트리메틸실릴 (CH3)3Si-과 같은 알킬실릴 단량체들을 포함하지만, 실리콘 이량체 또는 펜타디메틸디실릴 (CH3)3Si-(CH3)2Si-과 같은 중합체들을 포함할 수도 있다. 알킬실릴 기들은 1 내지 20 개, 바람직하게는 1 내지 10 개의 탄소 원자들을 포함하는 것이 바람직하다. 또한, 실릴 기는 1 이상, 바람직하게는 2 이상, 유리하게는 4 이상의 실리콘 원자를 포함하는 것이 유리할 수 있다.
본 발명에 따른 특히 바람직한 실리콘-함유 중합체는 다음과 같은 구조를 포함한다:
Figure 112018084625806-pat00004
여기서, x는 1 내지 400, 예를 들어 20 내지 200 사이의 정수이고, y는 0 내지 400, 예를 들어 0 또는 20 내지 200 사이의 정수이며, R1, R2, 및 R3는 각각 C1 내지 C20 알킬실릴 기이다. 일 실시예에서는, R1, R2, 및 R3 중 하나만이 앞서 정의된 바와 같은 알킬실릴 기이며, 나머지 기들은 H, 또는 C1 내지 C20 알킬, 아릴 또는 알콕시 기와 같은 탄소계 잔기이다.
본 발명의 실시예들의 실리콘 함유 중합체들은 여하한의 알려진 기술들에 의해 만들어질 수 있다. 예를 들어, 상기 중합체들은 이들 자체 또는 다른 단량체들 또는 중합체들과 실리콘-함유 단량체들의 공중합에 의해 만들어질 수 있다. 이러한 반응들은 과산화벤조일, 암모늄 퍼술페이트 또는 아조비스이소부티로니트릴과 같은 특정 개시제들의 UV 또는 감마 방사선들에 의해 생성된 자유 라디칼들에 의해, 또는 예를 들어 BF3 또는 TiCl4로부터 도출된 반응성 이온들의 사용에 의해 개시될 수 있다. 대안적으로, 실리콘 그룹들은 트리메틸실란, 디메틸페닐실란 및 디메틸에틸실란과 같은 실란들을 이용하는 하이드로실릴레이션 반응을 통해 레지스트 중합체의 하이들로실릴레이션에 의해 도입될 수 있다.
레지스트 재료는 스핀 코팅과 같은 잘 알려진 기술들을 이용하여 원하는 기판 상으로 코팅된다. 증착되는 층의 두께는 10 내지 100 nm 범위가 유리하며, 50 nm 미만 또는 심지어 30 nm 미만일 수 있다.
도 4는 4 내지 16 nm의 EUV 파장의 범위에 걸쳐 다양한 후보 재료들에 대한 투과 특성의 추이 곡선들(traces) (a) 내지 (e)를 나타낸다. 추이 곡선 (a)는 폴리(하이드록시스티렌) 또는 PHS, 다수의 레지스트 재료들에 통상적으로 사용되는 유기 재료에 대응한다. 또한, 제안된 새로운 화합물들(하이드록시스티렌의 하이드록실 잔기가 다양한 잔기들로 실릴화됨)에 대한 투과 특성들이 추이 곡선들 (b) 내지 (e)에 나타나 있다. 이러한 특성들은 X-레이 광학기 '필터 투과' 툴을 이용하여 계산되었으며, 이는 http://henke.lbl.gov/optical_constants/filter2.html에서 공공연히 접근가능하고, 이는 100 nm의 층 두께에 기초한 편람 및 라이브러리를 통해 이용가능한 간행물에 기초한다. 더 얇은 층에 대하여, 투과율(T)이 100 %를 향해 증가할 것이며, 이는 더 얇은 층들에 대해 흡수율이 감소함을 의미한다.
EUV 방사선의 흡수율이 레지스트의 방사선 감응성에 대한 상태(condition)임에 따라, 흡수율은 주어진 파장에서 포텔션 레지스트의 감응성의 좋은 지표이다. 도 4는 12 nm 이상의 EUV에 대해, 실리콘-함유 재료들이 높은 투명도를 나타냄(즉, PHS에 대한 투과율이 약 70 %인 것에 비해, -Si(CH3)3에 대해 77 %, Si2(CH3)5에 대해 79 %, Si3(CH3)7에 대해 80 %, Si4(CH3)9에 대해 81 %의 투과율을 가짐)을 명확히 보여주고 있다. 하지만, 11 nm 미만의 파장을 갖는 EUV에 대해, 실리콘-함유 재료들의 흡수율이 극적으로 증가하는 한편, PHS 재료의 흡수율은 계속 꾸준히 감소한다.
실리콘 재료들의 흡수율이 (실리콘-함유 재료에 따라) 궁극적으로 약 10.5 nm 내지 9.5 nm 이하의 EUV 파장에서 감소하지만, 그들의 흡수율은 실리콘 없는 PHS보다 실질적으로 높다. 그러므로, 이러한 실리콘-함유 재료들은 11 nm 미만의 EUV용 레지스트들로서 사용되는 것이 훨씬 더 적합하다. 6.9 nm에서, 투과 특성들은 -Si(CH3)3에 대해 84 %, Si2(CH3)5에 대해 79 %, Si3(CH3)7에 대해 77 %, Si4(CH3)9에 대해 75 %인 한편, PHS의 투과 특성은 90 %이상이다. 다시 한번, 이러한 특성들은 6.x EUV 리소그래피 기술에 대한 실리콘-함유 중합체의 적합성을 입증한다.
또한, 단량체당 실리콘 원자들의 수는 추이 곡선들 (b) 내지 (e)에서 실리콘 원자들을 함유한 중합체의 흡수 특성들과 서로 관련이 있는 것으로 나타나 있다. 도 5는 이러한 의존성을 더욱 명시적으로 나타낸다. 13.5 nm의 EUV에 대해, 실리콘 원자들의 수가 증가하면, 흡수율이 감소하지만, 이는 6.5 nm의 EUV의 경우가 아니다. 후자의 경우에는, 단량체에 존재하는 실리콘 원자들의 수에 따라 흡수율이 예상외로 증가한다. 그러므로, 적어도 2 개, 바람직하게는 2 이상, 더 바람직하게는 4 개의 실리콘 원자들의 수를 포함하는 실리콘-함유 단량체를 갖는 것이 바람직하다.
예시 2: 11 nm 미만의 EUV용 EUV 레지스트로서 Ta, W. Re, Os, Ir, Ni, Cu, 및 Zn-함유 재료
상기의 원소들을 함유한 화합물, 그리고 특히 적합한 산화물들은 레지스트들의 제조에 사용하기에 적합하다. 이는 순수 원소로 만들어진 필름들에 대하여 상기 원소들에 대한 6.5 nm 방사선의 투과율 퍼센트에 의해 입증되며, 이는 아래의 표 I에 도시되어 있다.
표 I: 필름 두께에 대한 투과율 퍼센트
Figure 112019000983475-pat00005
표 I의 투과 값들은 개시된 기사 및 편람에 기초하고, http://henke.lbl.gov/optical_constants/filter2.html에서 접근가능한 CXRO 데이터베이스로부터 산출된다. 비교를 위해, 앞서 언급된 Stowers 논문에 연구된 Hf는 상기에 열거된 원소들 중 어느 것보다 6.5 nm에서 낮은 흡수율을 가지며, 30 nm 및 5 nm 필름 두께에서 각각 41.89 % 및 86.5 %의 투과 수치를 나타낸다.
이러한 높은 흡수 값들은 당해(in question) 원소들을 통합한 레지스트 재료에 의해 나타내어질 것이다. 또한, 이러한 무기 재료의 사용은 블러링을 최소화할 것이며, 재료의 증가된 강도로 인해 패턴 붕괴를 감소시킬 것이다. 또한, 화합물은 유리하게 이러한 원소들 중 하나의 산화물, 예컨대 탄탈룸 펜타 에톡시드일 것이다. 또한, 화합물은 다양한 산화물들의 혼합물일 수도 있다. 또한, 적합한 산화물들은 1 이상의 원소(즉, 혼합된 금속 산화물)를 포함할 수도 있다. 재료는 졸 겔 코팅(sol gel coating)으로서 제공될 수 있으며, 기판 상에 스핀 코팅 또는 진공 증착될 수 있다. Ta, W, 및 Zn은 졸 겔 코팅에 특히 적합하다. 금속 산화물은 에탄올, 프로판올, 부탄올, 또는 이들의 혼합물과 같은 단순 무수 알코올에 용해될 수 있다. 농도는 유리하게 0.1 내지 5 중량% 범위일 수 있다. 초기 가수분해를 방지하는 킬레이트 시약이 추가될 수 있다. 이러한 킬레이트 시약은, 예를 들어 통상적으로 등몰 농도(equimolar concentrations)의 케톤 또는 디케톤 기(예를 들어, 벤조일아세톤)를 갖는 유기 분자들일 수 있다. 6.x nm EUV 노광 후, 노광되지 않은 재료를 무수 알코올에 용해함으로써 레지스트가 현상된다.
본 발명의 특정 실시예에 따르면, 탄탈룸 펜타 에톡시드{Ta(OC2H5)5}를 이용하여 탄탈룸 졸-겔이 얻어질 수 있다. 용액은 에탄올, 프로판올, 부탄올, 또는 이들의 혼합물과 같은 단순 무수 알코올로 만들어질 수 있다. 농도 범위는 0.1 내지 5 중량%이다. 초기 가수분해를 방지하기 위해, 킬레이트 시약 벤조일아세톤이 등몰 농도로 추가될 수 있다. 결과적인 혼합물은 1000 내지 5000 RPM 범위의 속도에서 스핀 코팅된다. 6.x nm EUV 노광 후, 노광되지 않은 레지스트 재료를 무수 알코올에 용해함으로써 졸-겔이 현상된다.
상이한 레지스트들이 상이한 EUV 파장과 같이 상이한 공정 단계들에 사용될 수 있으며, 심지어는 비-EUV 파장들이 전체 디바이스 제조 공정에서 덜 결정적인 패터닝 단계들에 대해 사용될 수 있다. 행해지는 개별 공정 및 패턴에 대한 성능을 최적화하기 위해, 상기에 도입된 상이한 레지스트 타입들이 서브-11 nm EUV 파장들에서 상이한 공정 단계들에 사용될 수 있다.
EUV 리소그래피 공정들의 설명된 레지스트들은 집적 회로들의 제조를 위해, 그리고 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 위해 사용될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명의 레지스트 재료는 본 발명을 보호 및/또는 향상시키기 위해, 다수의 층들을 포함하는 레지스트들을 포함하는 패터닝 공정에 사용될 수 있다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 레지스트 재료로서,
    ⅰ) Re, Os, Ir, Ni, Cu, 및 Zn으로 구성된 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 화합물, 또는 ⅱ) 탄탈룸 산화물(tantalum oxide)을 포함하고,
    상기 레지스트 재료는 5 nm 이상 11 nm 미만 범위의 파장을 갖는 EUV 광에 대한 감응성을 갖는 레지스트 재료.
  2. 제 1 항에 있어서,
    상기 화합물은 산화물인 레지스트 재료.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 산화물은 무수 알코올(anhydrous alcohol)에 용해되는 레지스트 재료.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 탄탈룸 산화물은 탄탈룸 펜타 에톡시드(tantalum penta ethoxide)인 것을 특징으로하는 레지스트 재료.
  6. 제 1 항에 있어서,
    상기 화합물 또는 상기 탄탈룸 산화물은 광-생성된 전자의 감소된 평균 자유 경로를 갖는 레지스트 재료.
  7. 제 6 항에 있어서,
    상기 평균 자유 경로는 2 nm 인 레지스트 재료.
  8. 제 1 항에 있어서,
    상기 재료는 졸 겔(sol gel)로서 제공되는 레지스트 재료.
  9. 제 1 항에 있어서,
    상기 레지스트 재료는 5 내지 8 nm 범위의 파장을 갖는 EUV 광에 대한 감응성을 갖는 레지스트 재료.
  10. 레지스트 재료로서,
    실리콘-함유 중합체를 포함하고, 상기 실리콘-함유 중합체는 다음의 식을 갖는 단량체를 포함하고:
    Figure 112019000983475-pat00006

    여기서, R은 다음의 식을 갖는 기이며:
    Figure 112019000983475-pat00007

    여기서, R1, R2, 및 R3는 각각 C1 내지 C20 알킬실릴 기이며,
    상기 알킬실릴 기는 트리메틸실릴, 펜타메틸디실릴, 헵타메틸트리실릴, 및 노나메틸테트라실릴로 구성된 그룹에서 선택되고,
    상기 레지스트 재료는 5 nm 이상 11 nm 미만 범위의 파장을 갖는 EUV 광에 대한 감응성을 갖는 레지스트 재료.
  11. 레지스트 재료로서,
    ⅰ) 실리콘-함유 중합체, 또는 ⅱ) Re, Os, Ir, Ni, Cu, 및 Zn으로 구성된 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 화합물, 또는 ⅲ) 탄탈룸 산화물을 포함하고,
    상기 실리콘-함유 중합체는 다음의 식을 갖는 단량체를 포함하고:
    Figure 112019000983475-pat00008

    여기서, R은 다음의 식을 갖는 기이며:
    Figure 112019000983475-pat00009

    여기서, R1, R2, 및 R3 기는 각각 C1 내지 C20 알킬실릴 기이며,
    상기 레지스트 재료는 5 nm 이상 11 nm 미만 범위의 파장을 갖는 EUV 광에 대한 감응성을 갖는 레지스트 재료.
  12. 제 11 항에 있어서,
    상기 파장은 5 내지 8 nm 범위인 레지스트 재료.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 레지스트 재료는 기판 상으로 필름으로서 증착되고, 상기 필름은 10 nm 내지 100 nm 범위의 두께를 갖는 레지스트 재료.
  14. 제 11 항 또는 제 12 항에 있어서,
    상기 화합물은 산화물인 레지스트 재료.
  15. 삭제
KR1020187024586A 2011-07-08 2012-05-30 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트 KR102009869B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
US61/505,768 2011-07-08
PCT/EP2012/060133 WO2013007442A1 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147003284A Division KR101909567B1 (ko) 2011-07-08 2012-05-30 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트

Publications (2)

Publication Number Publication Date
KR20180099913A KR20180099913A (ko) 2018-09-05
KR102009869B1 true KR102009869B1 (ko) 2019-08-12

Family

ID=46208008

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187024586A KR102009869B1 (ko) 2011-07-08 2012-05-30 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
KR1020147003284A KR101909567B1 (ko) 2011-07-08 2012-05-30 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147003284A KR101909567B1 (ko) 2011-07-08 2012-05-30 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트

Country Status (7)

Country Link
US (1) US9261784B2 (ko)
EP (1) EP2729844B1 (ko)
JP (2) JP6236000B2 (ko)
KR (2) KR102009869B1 (ko)
CN (2) CN103649830B (ko)
TW (1) TWI631423B (ko)
WO (1) WO2013007442A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
WO2015046327A1 (ja) * 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9519227B2 (en) 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
US9746774B2 (en) 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
FR3023843B1 (fr) * 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
WO2017056928A1 (ja) * 2015-09-30 2017-04-06 富士フイルム株式会社 レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102475021B1 (ko) 2016-05-13 2022-12-06 도쿄엘렉트론가부시키가이샤 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20230288798A1 (en) * 2020-07-17 2023-09-14 Lam Research Corporation Photoresists containing tantalum
JPWO2022202402A1 (ko) * 2021-03-26 2022-09-29

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
JP2000267279A (ja) 1999-03-12 2000-09-29 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5837980B2 (ja) 1975-12-30 1983-08-19 富士通株式会社 フオトエツチングホウホウ
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JP2953252B2 (ja) * 1993-01-19 1999-09-27 信越化学工業株式会社 レジスト材料
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
FR2759360B1 (fr) * 1997-02-10 1999-03-05 Commissariat Energie Atomique Materiau polymerique inorganique a base d'oxyde de tantale notamment a indice de refraction eleve, mecaniquement resistant a l'abrasion, son procede de fabrication et materiau optique comprenant ce materiau
TW574629B (en) * 1997-02-28 2004-02-01 Shinetsu Chemical Co Polystyrene derivative chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
US6849305B2 (en) * 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
WO2002063394A1 (en) * 2001-02-05 2002-08-15 Quantiscript Inc. Fabrication of structures of metal/semiconductor compound by x-ray/euv projection lithography
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
US6783917B2 (en) 2001-04-04 2004-08-31 Arch Specialty Chemicals, Inc. Silicon-containing acetal protected polymers and photoresists compositions thereof
JP4055543B2 (ja) * 2002-02-22 2008-03-05 ソニー株式会社 レジスト材料及び微細加工方法
US7326514B2 (en) * 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP2004354417A (ja) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP4595688B2 (ja) 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
TW200736834A (en) * 2005-12-27 2007-10-01 Kansai Paint Co Ltd Active energy ray-curable resin composition and method for forming resist pattern
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
KR100787450B1 (ko) * 2006-06-20 2007-12-26 삼성에스디아이 주식회사 감광성 페이스트 조성물 이를 이용하여 제조된 플라즈마디스플레이 패널의 격벽 및 이를 포함하는 플라즈마디스플레이 패널
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20100255427A1 (en) * 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal photo-sensitive layer and process
EP2287669A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
JP2000267279A (ja) 1999-03-12 2000-09-29 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法

Also Published As

Publication number Publication date
CN108594599B (zh) 2022-04-22
KR20140047120A (ko) 2014-04-21
US20140212819A1 (en) 2014-07-31
KR20180099913A (ko) 2018-09-05
US9261784B2 (en) 2016-02-16
EP2729844B1 (en) 2021-07-28
CN103649830A (zh) 2014-03-19
EP2729844A1 (en) 2014-05-14
JP2018025823A (ja) 2018-02-15
JP6236000B2 (ja) 2017-11-22
JP2014521111A (ja) 2014-08-25
WO2013007442A1 (en) 2013-01-17
JP6637943B2 (ja) 2020-01-29
TW201305736A (zh) 2013-02-01
KR101909567B1 (ko) 2018-10-18
CN103649830B (zh) 2018-06-01
TWI631423B (zh) 2018-08-01
CN108594599A (zh) 2018-09-28

Similar Documents

Publication Publication Date Title
KR102009869B1 (ko) 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
TWI394012B (zh) 微影裝置及元件製造方法
KR20040101025A (ko) Euv리소그래피를 위한 기판을 코팅하는 방법 및포토레지스트 층을 구비한 기판
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
KR20120101983A (ko) 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
US8817237B2 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TWI358616B (en) Method for chemical reduction of an oxidized conta
JP5016017B2 (ja) 放射源、リソグラフィ装置及びデバイス製造方法
US20120154779A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
NL2002908A1 (nl) Multilayer mirror and lithographic apparatus.
US20200041892A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US9046780B2 (en) Multilayer mirror and lithographic apparatus
NL2007857A (en) Lithographic patterning process and resists to use therein.
NL2004994A (nl) Multilayer mirror.

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant