JP2014521111A - リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト[関連出願の相互参照][0001]本出願は、参照によりその全体が本明細書に組み込まれる、2011年7月8日出願の米国仮特許出願第61/505,768号の利益を主張する。 - Google Patents

リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト[関連出願の相互参照][0001]本出願は、参照によりその全体が本明細書に組み込まれる、2011年7月8日出願の米国仮特許出願第61/505,768号の利益を主張する。 Download PDF

Info

Publication number
JP2014521111A
JP2014521111A JP2014517551A JP2014517551A JP2014521111A JP 2014521111 A JP2014521111 A JP 2014521111A JP 2014517551 A JP2014517551 A JP 2014517551A JP 2014517551 A JP2014517551 A JP 2014517551A JP 2014521111 A JP2014521111 A JP 2014521111A
Authority
JP
Japan
Prior art keywords
resist
silicon
group
radiation
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014517551A
Other languages
English (en)
Other versions
JP2014521111A5 (ja
JP6236000B2 (ja
Inventor
ウイスター,サンダー,フレデリック
クリヴツン,ヴラディミア,ミハイロヴィッチ
ヤクニン,アンドレイ,ミクハイロヴィッチ
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2014521111A publication Critical patent/JP2014521111A/ja
Publication of JP2014521111A5 publication Critical patent/JP2014521111A5/ja
Application granted granted Critical
Publication of JP6236000B2 publication Critical patent/JP6236000B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

リソグラフィプロセスは、EUVリソグラフィプロセス向けのレジスト材料における、ケイ素含有ポリマーまたはTa、W、Re、Os、Ir、Ni、CuおよびZnからなる群から選択される少なくとも1つの元素を含む化合物の使用を含む。このプロセスで使用されるEUV光の波長は、11nm未満、例えば6.5〜6.9nmである。本発明は、さらに、新規のケイ素含有ポリマーに関する。
【選択図】なし

Description

[0002] 本発明は、特有のケイ素含有ポリマーまたは特定の金属を含む化合物のリソグラフィ目的での使用と、該ポリマーまたは化合物を使用した新規のパターニング方法またはパターニングプロセスとに関する。さらに、本発明は、ケイ素含有ポリマー自体と、ケイ素含有ポリマーまたは特定の金属を含む化合物を、11nm未満の波長を放出する極端紫外線(EUV)放射を取り入れたリソグラフィプロセスにおいてレジストとして使用することとに関する。
[0003] フォトリソグラフィでは、所望のパターンが基板上、通常、基板のターゲット部分上に付与される。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分に転写することができる。通常、パターンの転写は、基板上に設けられた、通常レジストと呼ばれる放射感応性材料層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、ICおよび他のデバイスおよび/または構造の製造における重要な工程の1つとして広く認識されている。しかし、リソグラフィを使用して作られるフィーチャの寸法が小さくなるにつれ、リソグラフィは、小型のICまたは他のデバイスおよび/または構造の製造を可能にするためのより重要な要因になってきている。パターンプリンティングの限界の理論的な推測は、式(1)に示す解像度についてのレイリー基準によって与えられ得る:
Figure 2014521111
上の式で、λは、使用される放射の波長であり、NAは、パターンを印刷するために使用される投影システムの開口数であり、kは、レイリー定数とも呼ばれているプロセス依存調節係数であり、CDは、印刷されたフィーチャのフィーチャサイズ(またはクリティカルディメンジョン)である。式(1)から、フィーチャの最小印刷可能サイズは、露光波長λを短くすること、開口数NAを大きくすること、あるいはkの値を小さくすること、の3つの方法によって縮小することができると言える。
[0005] 露光波長を短くするため、ひいては、最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、5〜20nmの範囲内、例えば13〜14nmの範囲内の波長を有する電磁放射である。このような放射は、軟X線放射と呼ばれることがある。EUV放射は、プラズマを使用して生成することができる。EUV放射を生成するための放射システムは、燃料を励起してプラズマを提供するレーザと、プラズマを収容するための放射源コレクタモジュールと、を備え得る。プラズマは、例えば、好適な材料(例えば、スズ)の粒子、または、XeガスもしくはLi蒸気といった好適なガスもしくは蒸気の流れなどの燃料にレーザビームを誘導することにより作り出すことができる。このような放射システムは、通常、レーザ生成プラズマ(LPP)源と呼ばれる。別の放射源として、放電プラズマ源、または電子蓄積リングによって提供されるシンクロトロン放射に基づく放射源が含まれる
[0006] EUVリソグラフィにおいて、波長の選択は、好適な放射源、光学コンポーネントおよびプロセス材料の利用可能性を含む実用的な考慮事項によって制限されることがある。現在のEUVリソグラフィシステムは、全て13〜14nmの範囲内の放射波長を使用して動作しており、EUVリソグラフィが大量生産において使用されるようになるには、多くの成すべき開発が残されている。さらに、11nm未満の波長、例えば5〜10nmまたは5〜8nmの範囲内、特に、例えば6.7nmまたは6.8nmなどの6.5〜6.9nmのいわゆる「6.x」波長域、を有するEUV放射が使用され得ることも提案されている。これは、現在使用されている13.5nmの放射と比較して、波長が短いほど、良好な解像度(11nmノード未満のフィーチャ)、深い焦点深度(DOF)、および、高いスループットを提供することができるためである。しかし、波長が変化すると、新しい範囲の考慮事項がもたらされるため、13.5nmに対して最適化された技術および材料が、より短い波長で機能することもあれば、機能しないこともある。
[0007] 商業的なEUVリソグラフィの開発において特に難しいのは、エッチ抵抗性材料の中で、EUV光学システムによって投影された高解像度パターンを実現する放射感応性レジスト材料を配合することである。13.5nmで使用可能なレジスト材料の開発に関する著作物がいくつか発行されている。本発明者らは、11nm未満の短い波長での使用に対しては、全く異なる解決策が適切であろうことを認識した。
[0008] 本発明の一態様では、EUVリソグラフィプロセス向けのレジスト材料における、(i)ケイ素含有ポリマー、または(ii)Ta、W、Re、Os、Ir、Ni、Cu、またはZnのうち少なくとも1つの元素を含む化合物の使用が提供される。このプロセスで使用されるEUV放射の波長は、11nm未満である。この波長は、5〜8nmの範囲、例えば6.5〜6.9nmの範囲、例えば6.7nmまたは6.8nm程度であってよい。
[0009] 一実施形態において、レジスト材料は、膜として基板上に堆積され、膜は、10〜100nmの範囲、例えば50nm未満、あるいは30nm未満の厚さを有する。このようにして、フィーチャの幅に対するレジストの高さの比は、3未満、2.5未満、または2未満に維持することができる。
[0010] 本発明の一態様では、上述の材料を使用してレジスト材料の膜を基板上に形成することと、11nm未満の波長を有するEUV光のパターン付き放射ビームでレジスト膜を照射することと、レジスト膜を現像することと、を含むフォトリソグラフィパターニングプロセスが提供される。
[0011] 本発明の一態様では、デバイス製造方法が提供され、このデバイス製造方法では、パターン付きデバイスフィーチャが、一連のリソグラフィ工程および他の加工工程により基板上に付与され、リソグラフィ工程のうち少なくとも1つは、上述した本発明の一態様に係る材料のレジストとしての使用を含むフォトリソグラフィパターニングプロセスである。
[0012] 本発明の一態様では、11nm未満の波長を有するEUV光のパターン付きビームでレジスト材料のレジスト膜を照射する方法が提供され、レジスト材料は、ケイ素含有ポリマーと、Ta、W、Re、Os、Ir、Ni、CuまたはZnのうち少なくとも1つの元素を含む化合物と、のうちの少なくとも1つを含む。
[0013] 本発明の一態様では、以下の化学式を有するモノマーを含むケイ素含有ポリマーであって、
Figure 2014521111
上記式において、RはC〜C20のアルキルシリル基または以下の化学式を有する基であり、
Figure 2014521111
上記式において、R、RおよびRは、それぞれ、C〜C20アルキルシリル基である、ケイ素含有ポリマーが提供される。
[0014] ケイ素含有ポリマーは、フォトリソグラフィプロセスにおいてレジストとして使用することができる。本発明の理解を促すことを目的とし、以下、具体的な例および変形についてさらに説明する。
[0015] 本発明のいくつかの実施形態を、単なる例として、添付の概略図を参照して以下に説明する。
[0016] 図1は、本発明のいくつかの実施形態において使用されるリソグラフィ装置の機能的な要素を概略的に示す。 [0017] 図2は、図1の装置のより詳細な図である。 [0018] 図3は、本発明の一実施形態に係るレジストを使用したパターニングプロセスの多様な工程を図示する。 [0019] 図4は、本発明のいくつかの実施形態の多様なケイ素含有ポリマーと、公知のレジストとを比較したEUV透過性曲線を示す。 [0020] 図5は、本発明の一実施形態のポリマーについて、含まれるケイ素元素の数に応じたモノマー単位の6.5nmおよび13.5nmでの透過性曲線を示す。
[0021] 図1は、本発明の一実施形態に係るデバイス製造プロセスのいくつかの実施形態において使用されるリソグラフィ装置100を概略的に示している。このリソグラフィ装置は、放射源コレクタモジュールSOと、放射ビームB(例えばEUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスクまたはレチクル)MAを支持するように構築され、かつパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに連結されたサポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築され、かつ基板を正確に位置決めするように構成された第2ポジショナPWに連結された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影システム)PSと、を備える。
[0022] 照明システムとしては、放射を誘導し、整形し、または制御するために、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。
[0023] サポート構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスMAを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。
[0024] 「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。放射ビームに付与されたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定の機能層に対応し得る。
[0025] パターニングデバイスは、透過型であっても、反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レべンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0026] 投影システムは、照明システムと同様、使われている露光放射にとって、あるいは真空の使用といった他の要因にとって適切な、屈折型、反射型、磁気型、電磁型、静電型、または他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。EUV放射では、他のガスが放射を吸収し過ぎるおそれがあるため、真空を使用することが望ましい場合がある。したがって、真空壁および真空ポンプを使って、ビームパス全体に真空環境を提供してもよい。
[0027] 本明細書に示されているとおり、リソグラフィ装置は、反射型のもの(例えば、反射型マスクを採用しているもの)である。
[0028] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)を有する型のものであってもよい。そのような「マルチステージ」機械においては、追加のテーブルは並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上のテーブルを露光用に使うこともできる。
[0029] 図1を参照すると、イルミネータILは、放射源コレクタモジュールSOから極端紫外線放射ビームを受ける。EUV光を生成する方法としては、材料を、例えば、キセノン、リチウムまたはスズなど少なくとも1つの元素を有し、EUV範囲内の1つ以上の輝線を有するプラズマ状態へと変換することが含まれるが、必ずしもこれに限定されない。そのような方法のうちの1つであり、しばしばレーザ生成プラズマ(LPP)と呼ばれる方法では、所望の輝線を放出する元素を有する材料の小滴、流れまたはクラスタなどの燃料をレーザビームで照射することにより所望のプラズマを生成することができる。放射源コレクタモジュールSOは、燃料を励起するレーザビームを提供するためのレーザ(図1中図示なし)を含むEUV放射システムの一部であってもよい。結果として生じたプラズマは、例えばEUV放射などの出力放射を放出し、この出力放射は放射源コレクタモジュール内に配置される放射コレクタを使って集光される。例えば、COレーザを使用して燃料励起のためのレーザビームを提供する場合、レーザと放射源コレクタモジュールとは別個の構成要素とすることができる。
[0030] そのような場合には、レーザは、リソグラフィ装置の一部を形成しているとはみなされず、また放射ビームは、レーザから放射源コレクタモジュールへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムを使って送られる。その他の場合、例えば、放射源がしばしばDPP源と呼ばれる放電生成プラズマEUVジェネレータである場合においては、放射源は、放射源コレクタモジュールの一体部分であってもよい。
[0031] イルミネータILは、放射ビームの角強度分布を調節するアジャスタを含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、ファセットフィールド(facetted field)および瞳ミラーデバイスといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0032] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスによってパターン形成される。パターニングデバイス(例えば、マスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサPS2(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサPS1を使い、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることもできる。パターニングデバイス(例えば、マスク)MAおよび基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を使って、位置合わせされてもよい。
[0033] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
1.工程モードにおいては、サポート構造(例えば、マスクテーブ)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
2.スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0034] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0035] 図2は、放射源コレクタモジュールSO、照明システムILおよび投影システムPSを含む装置100をより詳細に示している。放射源コレクタモジュールSOは、放射源コレクタモジュールSOの閉鎖構造220内に真空環境を維持することができるように構築および配置されている。EUV放射放出プラズマ210は、放電生成プラズマ源により形成することができる。EUV放射は、例えばXeガス、Li蒸気またはSn蒸気などのガスまたは蒸気により生成され得る。この蒸気またはガス内で、非常に高温のプラズマ210が作り出され、電磁スペクトルのEUV範囲の放射を放出する。非常に高温のプラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマを引き起こす放電によって作り出される。放射を効率的に生成するには、Xe、Li、Sn蒸気または他の好適なガスもしくは蒸気の、例えば10Paの分圧が必要となり得る。一実施形態では、EUV放射を生成するために励起されたスズ(Sn)のプラズマが提供される。
[0036] 高温のプラズマ210により放出される放射は、放射源チャンバ211から、放射源チャンバ211の開口部内またはこの開口部の後方に位置決めされた任意選択のガスバリアまたは汚染物質トラップ230(場合によっては汚染物質バリアまたはフォイルトラップとも呼ばれる)を介して、コレクタチャンバ212内へと通過する。汚染物質トラップ230は、チャネル構造を備え得る。汚染トラップ230は、ガスバリアまたはガスバリアとチャネル構造との組み合わせを備えてもよい。本明細書においてさらに示される汚染物質トラップまたは汚染物質バリア230は、当技術分野で公知のように、少なくともチャネル構造を備える。
[0037] コレクタチャンバ211は、いわゆる斜入射型コレクタであり得る放射コレクタCOを備えてもよい。放射コレクタCOは、上流放射コレクタ面251および下流放射コレクタ面252を有する。コレクタCOを横断する放射は、格子スペクトルフィルタ240で反射され、仮想放射源点IFに合焦され得る。仮想放射源点IFは、通常、中間焦点と呼ばれ、放射源コレクタモジュールは、この中間焦点IFが閉鎖構造220の開口部221内または該開口部221付近に位置するように配置される。仮想放射源点IFは、放射放出プラズマ210の像である。
[0038] 続いて、放射は照明システムILを横断する。照明システムILは、パターニングデバイスMAにおいて放射ビーム21に所望の角度分布を提供し、かつパターニングデバイスMAにおいて放射強度に所望の均一性を提供するように配置されたファセットフィールドミラーデバイス22およびファセット瞳ミラーデバイス24を含んでもよい。放射ビーム21がサポート構造MTに保持されたパターニングデバイスMAで反射されると、パターン付きビーム26が形成され、このパターン付きビーム26は、反射要素28、30を介して、ウェーハステージまたは基板テーブルWTに保持された基板W上に投影システムPSにより結像される。
[0039] 一般に、照明光学系ユニットILおよび投影システムPS内には、図示されるよりも多い要素が存在し得る。リソグラフィ装置のタイプに応じて、格子スペクトルフィルタ240を任意で存在させてもよい。さらに、図示されるよりも多いミラーが存在してもよく、例えば、図2に示されるよりも1〜6個多い追加の反射要素が投影システムPS内に存在してもよい。
[0040] 図2に例示されるようなコレクタ光学系COは、単にコレクタ(またはコレクタミラー)の一例として、斜入射リフレクタ253、254および255を有する入れ子型コレクタ(nested collector)として示されている。斜入射リフレクタ253、254および255は、光軸Oを中心に軸対称に配置され、このタイプのコレクタ光学系COは、典型的に、しばしばDPP源と呼ばれる放電生成プラズマ源と組み合わせて使用される。
[0041] あるいは、放射源コレクタモジュールSOは、近法線入射(near normal incidence)コレクタ光学系(図示なし)を使用したLPP放射システムの一部であってもよい。LPPシステムにおいて、レーザは、燃料材料内に、レーザエネルギを与え、数十eVの電子温度を有する高度にイオン化されたプラズマを作り出すように配置される。これらイオンの脱励起および再結合中に生成されるエネルギ放射は、プラズマから放出され、近法線入射コレクタ光学系によって集光され、閉鎖構造220の開口部221上に合焦される。13.5nmの波長には、キセノン(Xe)、スズ(Sn)またはリチウム(Li)などの燃料が使用される。6.xnmの放射に対する候補としては、GdおよびTb
、ならびにそれらの合金およびGdのような化合物がある。光子あたりのエネルギは、100eVを上回り、例えば、約188eVになり得る。
[デバイス製造プロセス]
[0042] デバイス製造方法の一部としての典型的なパターニングプロセスは、通常、図1および2の装置を使用して、パターニングデバイスMから基板W上の放射感応性レジスト材料(「レジスト」と省略される)にパターンを転写する。
[0043] 図3は、ICなどの物品を製造するための、レジストを使用したパターニングプロセスに含まれる7つの工程(S0〜S7)を示している。これらの工程は以下のとおりである。
S0:例えばシリコンウェーハであり得る基板Wが準備される。
S1(コーティング):レジスト溶液が基板W上にスピンコーティングで塗布され、非常に薄い均一な層が形成される。このレジスト層は、残渣溶剤を蒸発させるために、低温でベークされてもよい。
S2(露光):リソグラフィ装置100および好適なパターニングデバイスMを使用してEUVで露光することにより、レジスト層内に潜像が形成される。
S3(現像およびエッチング):「ポジ型」レジストの場合、レジスト内の露光された領域は、適切な溶剤を使用して洗浄することにより、除去される。「ネガ型」レジストの場合、露光されていない領域が除去される。この工程は、レジストパターンから基板への加工工程と組み合わせられるか、あるいは該加工工程の前に行われる。図面内の「エッチング」という用語は、単に一例として使用されている。加工工程には、ウェットエッチング、ドライエッチング、リフトオフ、ドーピング等が含まれ得る。何らかのプロセスにより、付与されたパターンは、基板上の材料の付加、除去または変性において具現化される。図面には、材料が除去され、工程S5の堆積に続く場合が例示されている。
S4(ストリップ):残りのレジストがパターニング後の基板Wから除去される。
S5(堆積):異なる物質を堆積させて、基板Wのパターンを充填する。
S6(研磨):研磨により、余分な物質が基板Wの表面から除去され、基板W内に所望のパターンのみが残される。
[0044] 異なるパターンおよび異なる加工工程を使ってS1〜S6の工程を繰り返し、基板Wの元の表面上または表面下の異なる層内に所望のパターンを有する機能的なフィーチャを作り出し、最終的な多層製品がもたらされる(工程S7)。この製品は、典型的には集積回路等の半導体素子であるが、必ずしもその限りではない。
[0045] 上述したように、精通した読者には当然のことであろうが、工程S4〜S6は、露光されたレジストによって決定されたパターンに適用可能なプロセスの一例に過ぎない。製造段階によって、多くの異なるタイプの工程を使用することができる、あるいは使用することになる。例えば、レジストは、エッチング除去されずに、下層材料の変性を制御するために使用することができる。変性としては、例えば、酸化や、拡散またはイオン注入によるドーピングが挙げられる。新しい材料層を基板上に堆積させてもよい。多くのプロセスにおいて、感光性レジストは、単に、露光されたパターンを別の材料内に再現するいわゆる「ハードマスク」を生成する際の中間段階に過ぎない。その後、このハードマスクを使用して、下層材料をエッチングまたは変性することによって所望のパターンを持たせるべくプロセス工程を制御するが、これは感光性レジスト自体によって制御されるものではない。したがって、求められる結果的なパターンと、完成デバイスを構築するのに必要な一連の層および中間工程とに応じて、上述したプロセスは、一部の工程を統合および/または省略したり、さらなる工程を追加したりする等、変更してもよい。
[0046] 例えば6.xnm波長といった11nm未満のEUVリソグラフィプロセスで好適に使用されるレジストを検討するにあたって、本発明者らは、考慮すべき様々な課題を特定した。
[0047] EUVリソグラフィにおいて6.xのテクノロジーを検討するにあたって、レジスト内に得るべきフィーチャのアスペクト比(高さ/幅)は、最大で3、望ましくは2であるべきことから、結果として、検討すべきレジスト膜の厚さは、約10nm〜約100nmの範囲まで小さくなる。これは、レジスト上に投影される像の焦点深度が小さくなるためである。したがって、より薄いレジスト膜が望ましい。しかし、薄い膜では、例えば厚さのばらつきおよびマイクロチャネルの形成により、レジストの保護的な役割、特に、エッチングに対する耐性を実現することができない場合がある。薄い膜は、膜全体にわたる厚さのばらつきを増加させ得る。このことが、ひいては、半導体素子上に提供される後続の材料層に対し、悪影響を及ぼすおそれがある。さらに、薄い膜内に形成されたマイクロチャネルは、基板まで到達し、基板がエッチングプロセスに曝されてしまうおそれがある。これにより、レジストが最低限の性能要件を満たさなくなる場合がある。
[0048] EUV放射は、レジスト材料によって吸収され、光電子および二次電子を生成する。二次電子は、EUV放射源によってレジスト上に付与された光学像に重畳されるランダム露光を生じさせる。このランダム露光が、ひいては、解像度の低下、観察可能なラインエッジラフネスおよびライン幅のばらつきを引き起こし、これらは「ぼけ現象(blurring phenomenon)」と呼ばれる。レジストが、EUVリソグラフィにおいて望まれる高解像度を提供すべきである場合、このようなぼけは制御しなくてはならない。
[0049] レジスト材料の物性が、洗浄液を十分に乾燥させる間に、パターン上に作用する毛細管力または反発力に対抗できない場合、パターン崩壊が生じる。したがって、パターンのフィーチャのアスペクト比が小さいだけでなく、レジスト材料の剛性または強度が高いことが望ましい。
[0050] レジストの解像能力のばらつきを引き起こす他のプロセスパラメータとして、例えば、エッチ抵抗および選択性、量子収率、ベークの時間および温度、露光時間および放射源出力、空間像焦点、ならびに、現像の時間および温度がある。これら特定のパラメータにおけるある程度のばらつきを許容できる特定のレジストの能力は、当然ながら、所定の許容範囲内において作業を可能にするために非常に望ましい。
EUVレジスト‐背景
有機レジスト
[0051] 伝統的な有機レジストは、PBS、ポリ(ブテン−1−スルホン)、およびZEP、つまりポリ(メチル α‐クロロアクリル酸メチル‐co‐α‐メチルスチレン)[poly(methyl a-chloroacrylate-co-a-methylstyrene)]などの、ポリヒドロスチレン系である。これらのポリヒドロスチレン系樹脂は、180nmより大きいパターンに適合されている。化学増幅レジスト(CAR)樹脂は、365nm(i線)から248nm(KrF)への歴史的な変遷の一部として開発された。これらの樹脂は、193nm(ArF)のドライリソグラフィおよび液浸リソグラフィとの組み合わせにおいても使用された。これらの樹脂は、EUV向けに設計はされていないものの、その良好な性能ゆえに、高感度、高コントラスト、高解像度、ドライエッチ抵抗、湿式現像(aqueous development)、および、プロセス寛容性を理由に、13〜14nm技術でも使用されている。
[0052] このプロセスにおいて、樹脂上に存在する化学成分は、放射露光に際して酸性基を放出する。これらの酸性基は、ポストベーク工程中に拡散し、周囲のポリマーを現像剤に可溶にする。酸拡散により、レジストの感度およびスループットの向上、ならびに、ショット雑音統計によるラインエッジラフネスの制限が助長される。しかし、酸拡散の長さ自体は、潜在能力を制限する要因である。さらに、拡散が多すぎると、化学コントラスト(chemical contrast)を減少させ、ラフネスの増加を引き起こすおそれがある。KRS−XEは、IBMにより開発されたCARであり、高コントラストを有し、ポストベークを不要にするアセタール保護基を使用している。
[0053] 米国特許出願公開第2004/0241574号は、ケイ素またはホウ素を含むCARを記載している。これらのCARは、EUV波長(米国特許出願公開第2004/0241574号では12.5nmを超えるものが開示されている)を選択した場合において、純粋な炭素系のポリマーと比較して透明度が高いために、非常に好適なレジストであると記載されている。本発明者らは、透明度が高いという特徴は、材料と放射との間の相互作用に欠けることを示すため、11nm未満のEUVを使用するリソグラフィプロセスには望ましくなく、上述したように、ぼけの少ない、はるかに薄いレジストを使用することが望ましいことを認識した。
無機レジスト
[0054] レジストは、様々な金属酸化物などの無機材料からも作ることができる。無機レジストは、ぼけに対する高い耐性と、その強度によるパターン崩壊に対する高い耐性を示すことができる。Stowers他による、「EUVリソグラフィ用の直接パターニングされた無機ハードマスク(Directly Patterned inorganic hardmask for EUV lithography)」SPIE会報、第7969号、796915〜796915‐II(2011年)には、ペルオキソ錯化剤と混合したハフニウムオキシドスルファート(hafnium oxide sulfate)を使用してネガ型レジストを形成することが記載されている。EUVへの露光により、過酸化基の結合を壊す二次電子が生成される。こうして活性金属部位が生成され、これらが反応して架橋結合および凝縮したエリアを作り出す。未露光領域は、TMAH(水酸化テトラメチルアンモニウム)などの溶剤を使用して除去される。
11nm未満のEUVリソグラフィ用レジストの選択
[0055] したがって、11nm未満、特に、6.xnmの波長を使用したEUVフォトリソグラフィの需要を満たすことができるレジスト材料を提供することが非常に望ましい。現在までに、(i)ケイ素含有有機ポリマー、および(ii)Ta、W、Re、Os、Ir、Ni、Cu、またはZn、あるいはそれらの混合物含む化合物が、特に有利であり、さらに、光生成電子の平均自由行程が短いことから、吸収率が高く、かつぼけの少ない薄いレジスト膜を提供できることがわかっている。この理由から、現状使用されているレジスト材料の平均自由行程が約7nmである一方、本発明に係るレジスト材料の平均自由行程は約2nmである。
実施例1:ケイ素含有ポリマー
[0056] 本発明の一実施形態では、好適なケイ素含有ポリマーは、約0.1wt%〜約50wt%のケイ素を含み得る。例えば、そのようなポリマーは、公知のCARの一部のアルキル基を、シリル基と置換することにより得ることができる。好適な公知のCARとしては、KRSまたはポリヒドロキシスチレンレジストなどの、EUVリソグラフィプロセスで使用されるあらゆる公知のポリマーレジスト材料が含まれ得る。
[0057] 好適なシリル基には、トリメチルシリル(CHSi‐などのアルキルシリルモノマーが含まれるが、ペンタジメチルジシリル(CHSi‐(CHSi‐などのケイ素ダイマーまたはケイ素ポリマーも含んでもよい。アルキルシリル基は、好ましくは、1〜20個、より好ましくは1〜10個の炭素原子を含む。シリル基が2個以上のケイ素原子、好ましくは3個以上、平均的には4個のケイ素原子を含むことが有利な場合もある。
[0058] 本発明に係る特に望ましいケイ素含有ポリマーは、以下の構造を含む。
Figure 2014521111
上記構造において、xは、1〜400の範囲、例えば20〜200の整数、yは、0〜400の範囲、例えば0または20〜200の整数、R、RおよびRは、それぞれ、C〜C20のアルキルシリル基である。一実施形態において、R、RおよびRのうち1つのみが上述したアルキルシリル基であり、残りの基はH、または、C〜C20のアルキル、アリールもしくはアルコキシ基などの炭素系成分、である。
[0059] 本発明のいくつかの実施形態のケイ素含有ポリマーは、あらゆる公知の技術により作ることができる。例えば、ケイ素含有ポリマーは、ケイ素含有モノマーを、ケイ素含有モノマーどうしと、あるいは他のモノマーまたは他のポリマーと共重合させることにより作ることができる。このような反応は、過酸化ベンゾイル、過硫化アンモニウム、またはアゾビスイソブチロニトリル (azobmsobutyronitrile)などの特定の開始剤へのUV放射またはガンマ放射により生成された遊離基によって、あるいは、例えばBFもしくはTiCl由来の反応性イオンを使用することによって、開始することができる。あるいは、トリメチルシラン、ジメチルフェニルシラン、およびジメチルエチルシランなどのシラン類を使用したヒドロシリル化反応による、レジストポリマーのヒドロシリル化によってケイ素基を導入することもできる。
[0060] レジスト材料は、スピンコーティング等の公知のテクノロジーを使用して所望の基板上にコーティングされる。堆積される層の厚さは、10〜100nmの範囲であることが有利であり、50nm未満、あるいは30nm未満であってもよい。
[0061] 図4は、4〜16nmのEUV波長範囲における、種々の候補材料の透過特性を線(a)〜(e)で示している。線(a)は、多くのレジスト材料で典型的に使用されている有機材料であるポリヒドロキシスチレン(またはPHS)に対応する。ヒドロキシスチレンのヒドロキシル成分が多様な成分でシリル化されている新規に提案される化合物の透過特性もまた、線(b)〜(e)で示されている。これらの特性は、http://henke.lbl.gov/optical_constants/filter2.htmlから公的にアクセス可能であり、かつ、図書館で利用可能な既刊資料および厚さ100nmの層に基づく手引書に基づいているX線光学センター(Center for X-Ray Optics)の「Filter Transmission」ツールを用いて、計算された。層が薄いほど、透過率Tが100%に向けて増加し、これは、層の厚さが薄いほど、吸収率が減少することを意味している。
[0062] EUV放射の吸収率は、レジストの放射感応性の条件であるため、吸収率は、所与の波長における潜在的なレジストの感応性を示す良好な指標である。図4は、12nmを超えるEUVに対して、ケイ素含有材料が高い透明性を示すことを明確に示している(つまり、透過率は、PHSが約70%であるのに対し、‐Si(CHが77%、Si(CHが79%、Si(CHが80%、Si(CHが81%)。しかし、11nm未満の波長を有するEUVに対しては、ケイ素含有材料の吸収率は劇的に増加する一方、PHS材料の吸収率は、着実に減少し続ける。
[0063] ケイ素材料の吸収率も、約10.5nm〜9.5nm(ケイ素含有材料によって異なる)未満のEUV波長で最終的に減少するが、その吸収率は、ケイ素を含まないPHSよりも実質的に高いまま維持される。これらのケイ素含有材料は、したがって、11nm未満のEUV用レジストとして使用するのにはるかに適している。6.9nmでは、透過特性は、‐Si(CHが84%、Si(CHが79%、Si(CHが77%、Si(CHが75%である一方、PHSの透過特性は90%を上回る。このような特性も、ケイ素含有ポリマーが6.xのEUVリソグラフィテクノロジーに適していることを証明している。
[0064] 線(b)〜(e)から、モノマー当たりのケイ素原子の数もまた、それらケイ素原子を含有しているポリマーの吸収特性と相関していることがわかる。図5は、そのような依存関係をより明確に示している。13.5nmのEUVでは、ケイ素原子の数が多いほど、吸収率が低くなるが、これは6.5nmのEUVには当てはまらない。後者の場合、吸収率は、モノマー内に存在するケイ素原子の数に従って不意に増加する。したがって、少なくとも2個、望ましくは3個以上、より望ましくは4個のケイ素原子を含有するケイ素含有モノマーを持つことが望ましい。
実施例2:11nm未満のEUV向けEUVレジストとしてのTa、W、Re、Os、Ir、Ni、CuおよびZn含有材料
[0065] 上記元素を含む化合物、特に好適な酸化物は、レジストの製造において使用するのに適している。これは、上記元素について、各純元素から作られた膜に対する6.5nm放射の透過率によって証明されており、その結果を以下の表1に示す。
表1:透過率対膜厚
Figure 2014521111
表1の透過率の値は、http://henke.lbl.gov/optical_constants/filter2.htmlからアクセス可能であり、評論文および手引書に基づいたCXROデータベースから得たものである。比較対象として、上述のStowersの論文で研究されたHfは、6.5nmに対する吸収率が上記表内のいずれの元素よりも低いことが、30nmの膜厚および5nmの膜厚で、それぞれ、41.89%および86.5%という透過の数値により示されている。
[0066] これらの高い吸収率は、問題の元素を含んだレジスト材料により発揮されることになる。また、このような無機材料を使用することで、ぼけを最小限に抑えられ、かつ、材料の強度が増すためにパターン崩壊が減少することになる。化合物は、タンタルペンタエトキシドなど、これら元素のうちの1つの酸化物であることが有利である。化合物は、多様な酸化物の混合物であってもよい。好適な酸化物は、2つ以上の元素(つまり、金属酸化物の混合物)も含み得る。材料は、ゾルゲルコーティングとして、スピンコーティングまたは真空蒸着により基板上に提供され得る。Ta、WおよびZnは、ゾルゲルコーティングに特に好適である。金属酸化物は、エタノール、プロパノール、ブタノール、またはそれらの混合物などの単純な無水アルコールに溶解させることができる。濃度は、0.1wt%〜5wt%の範囲であると有利であり得る。早期の加水分解を防止するキレート剤を添加してもよい。そのようなキレート剤は、例えば、通常等モル濃度で、ケトン基またはジケトン基(例えば、ベンゾイルアセトン)を有する有機分子であり得る。6.xnmのEUV露光後、レジストは、未露光材料を無水アルコールで溶解させることにより現像される。
[0067] 本発明の特定の実施形態によると、タンタルのゾルゲルは、タンタルペンタエトキシド{Ta(OC}を使って得られる。溶液は、エタノール、プロパノール、ブタノール、またはそれらの混合物などの単純な無水アルコールで作ることができる。濃度範囲は、0.1〜5wt%である。早期の加水分解を防ぐために、キレート剤のベンゾイルアセトンを等モル濃度で添加してもよい。その結果得られた混合物は、1000〜5000RPMの範囲の速度でスピンコーティングされる。6.xnmの露光後、ゾルゲルは、未露光レジスト材料を無水アルコール内で溶解させることにより、現像される。
[0068] デバイス製造プロセス全体において、重大性の低いパターニング工程に対し、異なるEUV波長や非EUV波長が使用されることがあるように、プロセス工程ごとに異なるレジストを使用してもよい。11nm未満のEUV波長を使用するプロセス工程ごとに異なる上記タイプのレジストを使用し、個々のプロセスおよび作製される個々のパターンに合わせて性能を最適化することがきる。
[0069] EUVリソグラフィプロセスにおいて、上記レジストは、集積回路の製造および集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造といった他の用途に使用することができる。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0070] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施できることが明らかである。例えば、本発明のレジスト材料は、本発明の保護および/または強調を目的として、多層を含んだレジストを含むパターニングプロセスにおいて使用することができる。上記の説明は、制限ではなく例示を意図したものである。したがって、当業者には明らかなように、以下の特許請求の範囲から逸脱することなく本記載の発明に変更を加えてもよい。

Claims (23)

  1. 11nm未満の波長を有するEUV光のパターン付きビームでレジスト材料のレジスト膜を照射する方法であって、前記レジスト材料は、ケイ素含有ポリマーおよび/またはTa、W、Re、Os、Ir、Ni、CuおよびZnからなる群から選択される少なくとも1つの元素を含む化合物を含む、方法。
  2. 前記波長は、5〜8nmの範囲、例えば6.5〜6.9nmの範囲、例えば6.7nmまたは6.8nm程度である、請求項1に記載の方法。
  3. 前記レジスト材料は、膜として基板上に堆積され、前記膜は、10nm〜100nmの範囲、例えば50nm未満の厚さを有する、請求項1または2に記載の方法。
  4. 前記ケイ素含有ポリマーは、以下の化学式を有するモノマーを含み、
    Figure 2014521111
    上記式において、RはC〜C20のアルキルシリル基または以下の化学式を有する基であり、
    Figure 2014521111
    上記式において、R、RおよびRの基は、それぞれ、C〜C20アルキルシリル基である、
    請求項1〜3のいずれか1項に記載の方法。
  5. 前記アルキルシリル基は、トリメチルシリル、ペンタメチルジシリル、ヘプタメチルトリシリル、およびノナメチルテトラシリルからなる群から選択される、請求項4に記載の方法。
  6. 前記化合物は酸化物である、請求項1〜3のいずれか1項に記載の方法。
  7. 前記元素はタンタルである、請求項1〜3および6のいずれか1項に記載の方法。
  8. レジスト材料の膜を基板上に形成することと、
    11nm未満の波長を有するEUV光のパターン付き放射ビームで前記レジスト膜を照射することと、
    前記レジスト膜を現像することと、を含むフォトリソグラフィパターニングプロセスであって、
    前記レジスト材料は、ケイ素含有ポリマーおよび/またはTa、W、Re、Os、Ir、Ni、CuおよびZnからなる群から選択される少なくとも1つの元素を含む化合物を含む、
    フォトリソグラフィパターニングプロセス。
  9. 前記波長は、5〜8nmの範囲、例えば6.5〜6.9nmの範囲、例えば6.7nmまたは6.8nm程度である、請求項8に記載のプロセス。
  10. 前記膜は、10nm〜100nmの範囲、例えば50nm未満の厚さを有する、請求項8または9に記載のプロセス。
  11. 前記ケイ素含有ポリマーは、以下の化学式を有するモノマーを含み、
    Figure 2014521111
    上記式において、RはC〜C20のアルキルシリル基または以下の化学式を有する基であり、
    Figure 2014521111
    上記式において、R、RおよびRは、それぞれ、C〜C20アルキルシリル基である、
    請求項8〜10のいずれか1項に記載のプロセス。
  12. 前記アルキルシリル基は、トリメチルシリル、ペンタメチルジシリル、ヘプタメチルトリシリル、およびノナメチルテトラシリルからなる群から選択される、請求項11に記載のプロセス。
  13. 前記化合物は酸化物である、請求項8〜10のいずれか1項に記載のプロセス。
  14. 前記元素はタンタルである、請求項8〜10および13のいずれか1項に記載のプロセス。
  15. 以下の化学式を有するモノマーを含むケイ素含有ポリマーであって、
    Figure 2014521111
    上記式において、RはC〜C20のアルキルシリル基または以下の化学式を有する基であり、
    Figure 2014521111
    上記式において、R、RおよびRは、それぞれ、C〜C20アルキルシリル基である、
    ケイ素含有ポリマー。
  16. デバイス製造方法であって、パターン付きデバイスフィーチャは、一連のリソグラフィ工程および他の加工工程により基板上に付与され、前記リソグラフィ工程のうち少なくとも1つは、請求項8〜14のいずれか1項に記載のフォトリソグラフィパターニングプロセスである、デバイス製造方法。
  17. EUVリソグラフィプロセス向けのレジスト材料における、ケイ素含有ポリマーまたはTa、W、Re、Os、Ir、Ni、CuおよびZnからなる群から選択される少なくとも1つの元素を含む化合物の使用であって、前記プロセスで使用されるEUV放射の波長は、11nm未満である、使用。
  18. 前記波長は、5〜8nmの範囲、例えば6.5〜6.9nmの範囲、例えば6.7nmまたは6.8nm程度である、請求項17に記載の使用。
  19. 前記レジスト材料は、膜として基板上に堆積され、前記膜は、10〜100nmの範囲、例えば50nm未満の厚さを有する、請求項17または18に記載の使用。
  20. 前記ケイ素含有ポリマーは、以下の化学式を有するモノマーを含み、
    Figure 2014521111
    上記式において、RはC〜C20のアルキルシリル基または以下の化学式を有する基であり、
    Figure 2014521111
    上記式において、R、RおよびRの基は、それぞれ、C〜C20アルキルシリル基である、
    請求項17〜19のいずれか1項に記載の使用。
  21. 前記アルキルシリル基は、トリメチルシリル、ペンタメチルジシリル、ヘプタメチルトリシリル、およびノナメチルテトラシリルを含む群において選択される、請求項20に記載の使用。
  22. 前記化合物は酸化物である、請求項17〜19のいずれか1項に記載の使用。
  23. 前記元素はタンタルである、請求項17〜19および22のいずれか1項に記載の使用。
JP2014517551A 2011-07-08 2012-05-30 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト Active JP6236000B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
US61/505,768 2011-07-08
PCT/EP2012/060133 WO2013007442A1 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017208377A Division JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Publications (3)

Publication Number Publication Date
JP2014521111A true JP2014521111A (ja) 2014-08-25
JP2014521111A5 JP2014521111A5 (ja) 2015-07-16
JP6236000B2 JP6236000B2 (ja) 2017-11-22

Family

ID=46208008

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014517551A Active JP6236000B2 (ja) 2011-07-08 2012-05-30 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
JP2017208377A Active JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017208377A Active JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Country Status (7)

Country Link
US (1) US9261784B2 (ja)
EP (1) EP2729844B1 (ja)
JP (2) JP6236000B2 (ja)
KR (2) KR102009869B1 (ja)
CN (2) CN103649830B (ja)
TW (1) TWI631423B (ja)
WO (1) WO2013007442A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017056928A1 (ja) * 2015-09-30 2017-04-06 富士フイルム株式会社 レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
JP2017116923A (ja) * 2015-11-20 2017-06-29 ラム リサーチ コーポレーションLam Research Corporation 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
US11209729B2 (en) 2014-01-31 2021-12-28 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US11257674B2 (en) 2017-05-16 2022-02-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
JP2022521232A (ja) * 2019-02-25 2022-04-06 アプライド マテリアルズ インコーポレイテッド リソグラフィ応用のための膜積層体
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
WO2015046327A1 (ja) * 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9519227B2 (en) 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
US9746774B2 (en) 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
FR3023843B1 (fr) * 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102475021B1 (ko) 2016-05-13 2022-12-06 도쿄엘렉트론가부시키가이샤 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20230288798A1 (en) * 2020-07-17 2023-09-14 Lam Research Corporation Photoresists containing tantalum
JPWO2022202402A1 (ja) * 2021-03-26 2022-09-29

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63216042A (ja) * 1987-03-05 1988-09-08 Hitachi Ltd 放射線感応性材料
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH06273935A (ja) * 1993-01-19 1994-09-30 Shin Etsu Chem Co Ltd レジスト材料
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
JP2000267279A (ja) * 1999-03-12 2000-09-29 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
JP2004525506A (ja) * 2001-02-05 2004-08-19 クァンティスクリプト・インコーポレーテッド X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
JP2004354417A (ja) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP2010237662A (ja) * 2009-03-09 2010-10-21 Shin-Etsu Chemical Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5837980B2 (ja) 1975-12-30 1983-08-19 富士通株式会社 フオトエツチングホウホウ
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
FR2759360B1 (fr) * 1997-02-10 1999-03-05 Commissariat Energie Atomique Materiau polymerique inorganique a base d'oxyde de tantale notamment a indice de refraction eleve, mecaniquement resistant a l'abrasion, son procede de fabrication et materiau optique comprenant ce materiau
TW574629B (en) * 1997-02-28 2004-02-01 Shinetsu Chemical Co Polystyrene derivative chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
US6849305B2 (en) * 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
US6783917B2 (en) 2001-04-04 2004-08-31 Arch Specialty Chemicals, Inc. Silicon-containing acetal protected polymers and photoresists compositions thereof
JP4055543B2 (ja) * 2002-02-22 2008-03-05 ソニー株式会社 レジスト材料及び微細加工方法
US7326514B2 (en) * 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP4595688B2 (ja) 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
TW200736834A (en) * 2005-12-27 2007-10-01 Kansai Paint Co Ltd Active energy ray-curable resin composition and method for forming resist pattern
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
KR100787450B1 (ko) * 2006-06-20 2007-12-26 삼성에스디아이 주식회사 감광성 페이스트 조성물 이를 이용하여 제조된 플라즈마디스플레이 패널의 격벽 및 이를 포함하는 플라즈마디스플레이 패널
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
US20100255427A1 (en) * 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal photo-sensitive layer and process
EP2287669A1 (en) * 2009-06-26 2011-02-23 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63216042A (ja) * 1987-03-05 1988-09-08 Hitachi Ltd 放射線感応性材料
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH06273935A (ja) * 1993-01-19 1994-09-30 Shin Etsu Chem Co Ltd レジスト材料
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
JP2000267279A (ja) * 1999-03-12 2000-09-29 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
JP2004525506A (ja) * 2001-02-05 2004-08-19 クァンティスクリプト・インコーポレーテッド X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
JP2004354417A (ja) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
JP2010237662A (ja) * 2009-03-09 2010-10-21 Shin-Etsu Chemical Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11209729B2 (en) 2014-01-31 2021-12-28 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
WO2017056928A1 (ja) * 2015-09-30 2017-04-06 富士フイルム株式会社 レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
JPWO2017056928A1 (ja) * 2015-09-30 2018-01-18 富士フイルム株式会社 レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
US10551739B2 (en) 2015-09-30 2020-02-04 Fujifilm Corporation Resist composition, and resist film, pattern forming method, and method for manufacturing electronic device, each using resist composition
JP2017116923A (ja) * 2015-11-20 2017-06-29 ラム リサーチ コーポレーションLam Research Corporation 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
US11257674B2 (en) 2017-05-16 2022-02-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP2022521232A (ja) * 2019-02-25 2022-04-06 アプライド マテリアルズ インコーポレイテッド リソグラフィ応用のための膜積層体
JP7314293B2 (ja) 2019-02-25 2023-07-25 アプライド マテリアルズ インコーポレイテッド リソグラフィ応用のための膜積層体
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Also Published As

Publication number Publication date
CN108594599B (zh) 2022-04-22
KR20140047120A (ko) 2014-04-21
US20140212819A1 (en) 2014-07-31
KR20180099913A (ko) 2018-09-05
US9261784B2 (en) 2016-02-16
EP2729844B1 (en) 2021-07-28
CN103649830A (zh) 2014-03-19
EP2729844A1 (en) 2014-05-14
JP2018025823A (ja) 2018-02-15
JP6236000B2 (ja) 2017-11-22
KR102009869B1 (ko) 2019-08-12
WO2013007442A1 (en) 2013-01-17
JP6637943B2 (ja) 2020-01-29
TW201305736A (zh) 2013-02-01
KR101909567B1 (ko) 2018-10-18
CN103649830B (zh) 2018-06-01
TWI631423B (zh) 2018-08-01
CN108594599A (zh) 2018-09-28

Similar Documents

Publication Publication Date Title
JP6637943B2 (ja) リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
JP5752786B2 (ja) 多層ミラー及びそのロバスト性を改善する方法
JP2018502327A (ja) レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板
JP6144874B2 (ja) リソグラフィ装置用の反射型光コンポーネントおよびデバイス製造方法
De Simone et al. Progresses and challenges of EUV lithography materials
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
US9007565B2 (en) Spectral purity filter
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
US20120154779A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US9046780B2 (en) Multilayer mirror and lithographic apparatus
JP2013505593A (ja) スペクトル純度フィルタ、リソグラフィ装置、及びデバイス製造方法
NL2007857A (en) Lithographic patterning process and resists to use therein.
NL2004994A (nl) Multilayer mirror.

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150522

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150522

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160627

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170929

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171027

R150 Certificate of patent or registration of utility model

Ref document number: 6236000

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250