JP2014521111A5 - - Google Patents

Download PDF

Info

Publication number
JP2014521111A5
JP2014521111A5 JP2014517551A JP2014517551A JP2014521111A5 JP 2014521111 A5 JP2014521111 A5 JP 2014521111A5 JP 2014517551 A JP2014517551 A JP 2014517551A JP 2014517551 A JP2014517551 A JP 2014517551A JP 2014521111 A5 JP2014521111 A5 JP 2014521111A5
Authority
JP
Japan
Prior art keywords
substrate table
mode
support structure
target portion
patterning device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014517551A
Other languages
English (en)
Other versions
JP2014521111A (ja
JP6236000B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/EP2012/060133 external-priority patent/WO2013007442A1/en
Publication of JP2014521111A publication Critical patent/JP2014521111A/ja
Publication of JP2014521111A5 publication Critical patent/JP2014521111A5/ja
Application granted granted Critical
Publication of JP6236000B2 publication Critical patent/JP6236000B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

[0033] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
1.ステップモードにおいては、サポート構造(例えば、マスクテーブ)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
2.スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
JP2014517551A 2011-07-08 2012-05-30 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト Active JP6236000B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
US61/505,768 2011-07-08
PCT/EP2012/060133 WO2013007442A1 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017208377A Division JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Publications (3)

Publication Number Publication Date
JP2014521111A JP2014521111A (ja) 2014-08-25
JP2014521111A5 true JP2014521111A5 (ja) 2015-07-16
JP6236000B2 JP6236000B2 (ja) 2017-11-22

Family

ID=46208008

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014517551A Active JP6236000B2 (ja) 2011-07-08 2012-05-30 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
JP2017208377A Active JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017208377A Active JP6637943B2 (ja) 2011-07-08 2017-10-27 リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト

Country Status (7)

Country Link
US (1) US9261784B2 (ja)
EP (1) EP2729844B1 (ja)
JP (2) JP6236000B2 (ja)
KR (2) KR102009869B1 (ja)
CN (2) CN108594599B (ja)
TW (1) TWI631423B (ja)
WO (1) WO2013007442A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
WO2015046327A1 (ja) * 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
WO2015127353A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
DE112015000546T5 (de) 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
FR3023843B1 (fr) * 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
WO2017056928A1 (ja) * 2015-09-30 2017-04-06 富士フイルム株式会社 レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
KR20220046598A (ko) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20230288798A1 (en) * 2020-07-17 2023-09-14 Lam Research Corporation Photoresists containing tantalum
WO2022202402A1 (ja) * 2021-03-26 2022-09-29 Jsr株式会社 半導体基板の製造方法及びレジスト下層膜形成用組成物

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5837980B2 (ja) 1975-12-30 1983-08-19 富士通株式会社 フオトエツチングホウホウ
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
EP0440374B1 (en) * 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JP2953252B2 (ja) * 1993-01-19 1999-09-27 信越化学工業株式会社 レジスト材料
KR0159808B1 (ko) * 1993-01-19 1999-02-18 가나가와 지히로 레지스트 조성물
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
FR2759360B1 (fr) * 1997-02-10 1999-03-05 Commissariat Energie Atomique Materiau polymerique inorganique a base d'oxyde de tantale notamment a indice de refraction eleve, mecaniquement resistant a l'abrasion, son procede de fabrication et materiau optique comprenant ce materiau
TW574629B (en) * 1997-02-28 2004-02-01 Shinetsu Chemical Co Polystyrene derivative chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
JP3299214B2 (ja) * 1999-03-12 2002-07-08 松下電器産業株式会社 パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
US6849305B2 (en) * 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
EP1360552B1 (en) * 2001-02-05 2005-09-28 Quantiscript Inc. Fabrication of structures of metal/semiconductor compound by x-ray/euv projection lithography
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
EP1299773A4 (en) * 2001-04-04 2006-06-21 Fujifilm Electronic Materials SILICLE-CONTAINING ACETAL PROTECTIVE POLYMERS AND PHOTORESISTAL COMPOSITIONS THEREOF
JP4055543B2 (ja) * 2002-02-22 2008-03-05 ソニー株式会社 レジスト材料及び微細加工方法
US7326514B2 (en) * 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP2004354417A (ja) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP4595688B2 (ja) 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
TW200736834A (en) * 2005-12-27 2007-10-01 Kansai Paint Co Ltd Active energy ray-curable resin composition and method for forming resist pattern
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
KR100787450B1 (ko) * 2006-06-20 2007-12-26 삼성에스디아이 주식회사 감광성 페이스트 조성물 이를 이용하여 제조된 플라즈마디스플레이 패널의 격벽 및 이를 포함하는 플라즈마디스플레이 패널
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20100255427A1 (en) * 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal photo-sensitive layer and process
TWI420571B (zh) * 2009-06-26 2013-12-21 羅門哈斯電子材料有限公司 形成電子裝置的方法
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Similar Documents

Publication Publication Date Title
JP2014521111A5 (ja)
JP2013541729A5 (ja)
JP2011029655A5 (ja)
JP2011238707A5 (ja)
SG143220A1 (en) Process, apparatus and device
TW200628999A (en) Lithographic apparatus and device manufacturing method
TW200628996A (en) Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
TW200811587A (en) Method and tool for patterning thin films on moving substrates
WO2015124457A8 (en) Lithographic apparatus and method
TW201344377A (zh) 用於補償微影投影曝光系統的通道缺陷的設備及方法
WO2019218676A1 (zh) 数字化光刻系统和方法
JP2007273749A5 (ja)
CN102323726A (zh) 通过扫描实现高精度灰度曝光的方法
JP2012524988A5 (ja)
JP2010204588A5 (ja)
JP5689443B2 (ja) 波面変更装置、リソグラフィ装置およびデバイス製造方法
JP2013219089A5 (ja)
JP2014123778A5 (ja)
JP5122601B2 (ja) リソグラフィ装置、制御システム、マルチコアプロセッサ、およびマルチコアプロセッサにおいてタスクを開始する方法
CN106030412B (zh) 微光刻投影曝光设备的照明系统和用于操作该系统的方法
JP2015517733A5 (ja)
JP2014103171A5 (ja)
JP2017116769A5 (ja)
JP4686599B2 (ja) 画像強調技法
JP5473880B2 (ja) 露光装置、露光方法、及び表示用パネル基板の製造方法