US9261784B2 - Lithographic patterning process and resists to use therein - Google Patents

Lithographic patterning process and resists to use therein Download PDF

Info

Publication number
US9261784B2
US9261784B2 US14/131,141 US201214131141A US9261784B2 US 9261784 B2 US9261784 B2 US 9261784B2 US 201214131141 A US201214131141 A US 201214131141A US 9261784 B2 US9261784 B2 US 9261784B2
Authority
US
United States
Prior art keywords
resist material
group
resist
silicon
wavelength
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/131,141
Other languages
English (en)
Other versions
US20140212819A1 (en
Inventor
Sander Frederik Wuister
Vladimir Mihailovitch Krivtsun
Andrei Mikhailovich Yakunin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US14/131,141 priority Critical patent/US9261784B2/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KRIVTSUN, VLADIMIR MIHAILOVITCH, WUISTER, SANDER FREDERIK, YAKUNIN, ANDREI MIKHAILOVICH
Publication of US20140212819A1 publication Critical patent/US20140212819A1/en
Application granted granted Critical
Publication of US9261784B2 publication Critical patent/US9261784B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F30/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F30/04Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F30/08Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image

Definitions

  • the present invention relates to the use of particular silicon-containing polymers or compounds comprising specific metals for lithographic purposes and to a novel patterning method or process using such polymers or compounds.
  • the invention further relates to silicon-containing polymers per se, and the use of silicon-containing polymers or compounds comprising particular metals as resists in lithographic processes involving Extreme Ultraviolet (EUV) radiations emitting a wavelength of less than 11 nm.
  • EUV Extreme Ultraviolet
  • a desired pattern is applied onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material, usually referred to as a resist, which is provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1):
  • CD k 1 * ⁇ NA ( 1 )
  • is the wavelength of the radiation used
  • NA is the numerical aperture of the projection system used to print the pattern
  • k1 is a process dependent adjustment factor, also called the Rayleigh constant
  • CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength ⁇ , by increasing the numerical aperture NA or by decreasing the value of k 1 .
  • EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. Such radiation is sometimes termed soft x-ray radiation.
  • EUV radiation may be produced using a plasma.
  • a radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a source collector module for containing the plasma.
  • the plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor.
  • a radiation system is typically termed a laser produced plasma (LPP) source.
  • Alternative sources include discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
  • EUV lithography In EUV lithography, the choice of wavelengths may be limited by practical considerations involving the availability of suitable radiation sources, optical components and process materials.
  • Current EUV lithography systems all operate using radiation wavelength within the range of 13-14 nm, and many developments remain to be made before EUV lithography is used in volume production. It has further been proposed that EUV radiation with a wavelength of less than 11 nm could be used, for example within the range of 5-10 nm or 5-8 nm, and especially in the so-called ‘6.x’ wavelength region of 6.5-6.9, for example 6.7 or 6.8 nm.
  • the shorter wavelength may provide a better resolution (features below the 11 nm node), larger depth of focus (DOF) and higher throughput compared to the 13.5 nm radiation that is currently used.
  • DOE depth of focus
  • the change of wavelength brings a new range of practical considerations and the techniques and materials optimized for 13.5 nm may or may not work at the shorter wavelengths.
  • a silicon-containing polymer or (ii) a compound comprising at least one of the following elements: Ta, W, Re, Os, Ir, Ni, Cu or Zn in a resist material for an EUV lithographic process, wherein the wavelength of the EUV radiation used in the process is less than 11 nm.
  • the wavelength may be in the range 5-8 nm, for example in the range 6.5-6.9 nm, for example around 6.7 or 6.8 nm.
  • the resist material is deposited as a film onto a substrate and the film has a thickness ranging from 10 to 100 nm, for example less than 50 nm or even less than 30 nm. In this way, the ratio of resist height to feature width can be maintained less than 3, less than 2.5 or less than 2.
  • a photolithographic patterning process that includes forming a film of a resist material on a substrate using a material as set forth above, irradiating the resist film with a patterned radiation beam of EUV light of a wavelength of less than 11 nm; and developing the resist film.
  • a method of manufacturing a device wherein patterned device features are applied to a substrate by a sequence of lithographic and other processing steps, wherein at least one of the lithographic steps is a photolithographic patterning process that includes use of a material as a resist, according to an aspect of the invention as set forth above.
  • a method of irradiating a resist film of a resist material with a patterned beam of EUV light having a wavelength of less than 11 nm the resist material comprising at least one of a silicon-containing polymer and a compound comprising at least one of the following elements: Ta, W, Re, Os, Ir, Ni, Cu or Zn.
  • a silicon-containing polymer comprising a monomer having the following formula:
  • R is a C 1 to C 20 alkylsilyl group or a group having the formula:
  • R 1 , R 2 and R 3 are each a C 1 to C 20 alkylsilyl group.
  • the silicon-containing polymer may be used as a resist in a photolithographic process. Specific examples and variations thereof are described further below, to aid understanding the invention.
  • FIG. 1 depicts schematically the functional elements a lithographic apparatus for use in embodiments of the invention
  • FIG. 2 is a more detailed view of the apparatus of FIG. 1 ;
  • FIG. 3 depicts various steps of a patterning process using a resist according to an embodiment of the invention
  • FIG. 4 shows the EUV transmission curves of various silicon-containing polymers of embodiments of the invention compared to a known resist
  • FIG. 5 shows transmission curves at 6.5 nm and 13.5 nm of monomer units of a polymer of an embodiment of the invention, depending upon the number of silicon atoms (if any) they contain.
  • FIG. 1 schematically depicts a lithographic apparatus 100 for use in embodiments of a device manufacturing process according to one embodiments of the invention.
  • the apparatus comprises: a source collector module SO; an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g.
  • a radiation beam B e.g. EUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device
  • a substrate table e.g. a wafer table
  • a resist-coated wafer W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • a projection system e.g. a reflective projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
  • patterning device should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • the projection system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
  • the apparatus is of a reflective type (e.g. employing a reflective mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the illuminator IL receives an extreme ultra violet radiation beam from the source collector module SO.
  • Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam.
  • the source collector module SO may be part of an EUV radiation system including a laser, not shown in FIG. 1 , for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • output radiation e.g., EUV radiation
  • the laser and the source collector module may be separate entities, for example when a CO 2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device.
  • the radiation beam B After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT With the aid of the second positioner PW and position sensor PS 2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor PS 1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M 1 , M 2 and substrate alignment marks P
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • scan mode the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure e.g.
  • the mask table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS. 3.
  • the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • FIG. 2 shows the apparatus 100 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO.
  • An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211 .
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber 211 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252 . Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220 .
  • the virtual source point IF is an image of the radiation emitting plasma 210 .
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21 , at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1-6 additional reflective elements present in the projection system PS than shown in FIG. 2 .
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253 , 254 and 255 , just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253 , 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is typically used in combination with a discharge produced plasma source, often called a DPP source.
  • the source collector module SO may be part of an LPP radiation system, using a near-normal incidence collector optic (not shown)
  • a laser is arranged to deposit laser energy into a fuel material, creating the highly ionized plasma with electron temperatures of several 10's of eV.
  • the energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic and focused onto the opening 221 in the enclosing structure 220 .
  • fuels such as xenon (Xe), tin (Sn) or lithium (Li) are used.
  • candidates are Gd and Tb as well as their alloys and compounds like Gd 2 O 3 .
  • Energy per photon can be more than 100 eV, for example about 188 eV.
  • a typical patterning process as part of a method for manufacturing a device typically uses the apparatus of FIGS. 1 and 2 to transfer the pattern from patterning device M to a radiation-sensitive resist material (‘resist’ for short), on substrate W.
  • resist radiation-sensitive resist material
  • FIG. 3 which depicts seven steps (S 0 to S 7 ) involved in patterning processes using resists in order to manufacture items such as ICs. These steps are as follows:
  • step S 1 to S 6 steps are repeated with different patterns and different processing steps, to create functional features having the desired patterns in different layers above and below the original surface of the substrate W until the final, multi-layered product is delivered (step S 7 ).
  • the product is typically but not necessarily a semiconductor device such as an integrated circuit.
  • the steps S 4 to S 6 are merely one example of a process that can be applied in a pattern determined by the exposed resists.
  • the resist may be used to control a modification of the underlying material, rather than etching it away. Modification may be for example oxidation, doping, for example by diffusion or ion implantation. New material layers may be deposited on top of the substrate.
  • the photosensitive resist is merely an intermediate stage in producing a so-called ‘hard mask’, which reproduces the exposed pattern in a different material.
  • This hard mask is then used to control a process step which etches or modifies underlying material with the desired pattern, but which would not be controlled by the photosensitive resist itself.
  • a process step which etches or modifies underlying material with the desired pattern, but which would not be controlled by the photosensitive resist itself.
  • the aspect ratio (height/width) of the features to be obtained in the resist should be at most 3, desirably 2, and consequently the thickness of the resist film to be considered decreases to a range of about 10 nm to about 100 nm. This is due to a reduction of the depth of focus of the image projected on the resist. Consequently thinner resist films are desired.
  • the protective role of the resist, and in particular its resistance to etching may not be achievable with thinner film due, for example, to thickness variation and micro-channel formation. Thinner films may lead to an increase in thickness variation across the film. This, in turn, may have detrimental effects on subsequent layers of materials provided onto the semiconductor device.
  • the formation of micro-channels in thinner films may reach the substrate and expose it to the etching process. This would lead to resists not meeting the minimum performance requirements.
  • EUV radiations are absorbed by the resist material and produce photoelectrons and secondary electrons. Secondary electrons result in some random exposure which is superimposed to the optical image applied on the resist by the EUV source. This, in turn, leads to loss of resolution, observable line edge roughness and linewidth variation which are referred as a “blurring” phenomenon. This blurring will need to be controlled if a resist is to deliver the high resolution desired in EUV lithography.
  • Pattern collapse occurs when physical properties of the resist material cannot counteract capillary or repulsion forces exerted on the pattern during the drying of the rinse liquid to a sufficient extent. High rigidity or strength of the resist material is therefore desirable, as well as reduced aspect ratio of the features of the pattern.
  • CAR Chemically Amplified Resist
  • United States Patent Application Publication No. 2004/0241574 describes CARs which contain silicon or boron. These CARs are described as being very suitable resists due to their high transparency compared to purely carbon based polymers, at the EUV wavelength of choice, which is disclosed as being above 12.5 nm in United States Patent Application Publication No. 2004/0241574.
  • the present inventors have recognized that a high transparency characteristic, which indicates a lack of interaction between the material and the radiation, is undesirable for lithographic processes using EUV of less than 11 nm, and it is desirable to use the much thinner resist film with low blurring, as discussed above.
  • Resists can also be made of inorganic material, such as various metal oxides.
  • Inorganic resists can present increased resistance to blurring as well as resistance to pattern collapse due to their strength.
  • Stowers et al., “Directly patterned inorganic hardmask for EUV lithography”, proceedings of the SPIE, Volume 7969, pp 796915-796915-11 (2011) describe the use of a hafnium oxide sulfate combined to a peroxo complexing agent to form a negative resist.
  • Exposure to EUV leads to the production of secondary electrons which break the bond of the peroxide groups. Active metal sites are thus produced which react creating cross linked and condensed areas.
  • the unexposed region is removed using a solvent such as TMAH (tetramethylammonium hydroxide).
  • suitable silicon-containing polymers may comprise from about 0.1 wt % to about 50 wt % silicon.
  • such polymers can be obtained by replacing some alkyl groups of known CARs by silyl groups.
  • Suitable known CARs may include any known polymer resist material used with EUV lithography processes, such as KRS or polyhydroxystyrene resists.
  • Suitable silyl groups comprise alkylsilyl monomers such as trimethylsilyl (CH 3 ) 3 Si—, but may also comprise silicon dimers or polymers such as pentadimethyldisilyl (CH 3 ) 3 Si—(CH 3 ) 2 Si—.
  • the alkylsilyl groups comprise 1 to 20 carbon atoms, preferably 1 to 10. It may also be advantageous that the silyl group comprises more than 1 silicon atom, preferably more than 2 and advantageously 4.
  • a particularly desired silicon-containing polymer according to the invention contains the following structure:
  • x is an integer ranging from 1 to 400, for example from 20 to 200
  • y is an integer ranging from 0 to 400, for example from 0 or 20 to 200
  • R 1 , R 2 and R 3 are each a C 1 to C 20 alkylsilyl group.
  • R 1 , R 2 and R 3 is an alkylsilyl group as defined above and the remaining groups are H, or a carbon based moiety such as C 1 to C 20 alkyl, aryl or alcoxy group.
  • the silicon containing polymers of embodiments of the invention can be made by any known techniques. For example they can be made by copolymerization of silicon-containing monomers with themselves or other monomers or polymers. Such reactions can be initiated by free radicals created by UV or gamma radiations on specific initiators such as benzoyl peroxide, ammonium persulphate or azobisisobutyronitrile or by the use of reactive ions derived from example from BF 3 or TiCl 4 .
  • silicon groups can be introduced by hydrosilylation of a resist polymer through hydrosilylation reaction using silanes such as trimethylsilane, dimethylphenylsilane and dimethylethylsilane.
  • the resist material is coated onto the desired substrate using well known technologies such as spin coating.
  • the thickness of the deposited layer is advantageously ranging from 10 to 100 nm, and may be less than 50 nm or even less than 30 nm.
  • FIG. 4 shows, in traces (a) to (e), transmission characteristics for various candidate materials across a range of EUV wavelengths from 4 to 16 nm.
  • Trace (a) corresponds to poly(hydroxystyrene), or PHS, an organic material typically used in many resist materials.
  • Transmission characteristics for proposed new compounds wherein the hydroxyl moiety of the hydroxystyrene has been silylated with various moieties are also shown in traces (b) to (e). These characteristics have been calculated using the Center for X-Ray Optics ‘filter transmission’ tool which is publicly accessible at http://henke.lbl.gov/optical_constants/filter2.html, and which in turn is based on published material available through libraries and handbooks based on a layer thickness of 100 nm. For thinner layers, transmission T would increase towards 100%, meaning that absorption decreases, for thinner layers.
  • absorption of the EUV radiation is a condition for the radiation sensitivity of the resist
  • absorption is a good indicator of sensitivity of a potential resist at a given wavelength.
  • FIG. 4 clearly shows that for EUV above 12 nm, the silicon-containing materials show high transparency (i.e. transmission of about 70% for PHS vs. 77% for —Si(CH 3 ) 3 , 79% for Si 2 (CH 3 ) 5 , 80% for Si 3 (CH 3 ) 7 , 81% for Si 4 (CH 3 ) 9 ).
  • EUV having a wavelength of less than 11 nm the absorption of silicon-containing materials dramatically increases, while the absorption of the PHS material continues to decrease steadily.
  • the absorption of the silicon materials eventually decreases again at EUV wavelengths below about 10.5 nm to 9.5 nm (depending upon the silicon-containing material), their absorption remains substantially higher than that of the silicon-free PHS.
  • These silicon-containing materials are therefore much more suitable to be used as resists for EUV of less 11 nm.
  • the transmission characteristics are 84% for —Si(CH 3 ) 3 , 79% for Si 2 (CH 3 ) 5 , 77% for Si 3 (CH 3 ) 7 , 75% for Si 4 (CH 3 ) 9 ) while the transmission characteristic of PHS is above 90%. Again, such characteristics evidence the suitability of these silicon-containing polymer for the 6.x EUV lithography technology.
  • the number of silicon atoms per monomer also appears from traces (b) to (e) to be correlated with the absorption characteristics of the polymer containing them.
  • FIG. 5 illustrates such a dependency more explicitly.
  • EUV 13.5 nm
  • the absorption unexpectedly increases with the number of silicon atoms present in the monomer. It is therefore desirable to have the silicon-containing monomer comprising a number of silicon atoms of at least two, desirably higher than 2, and more desirably of 4.
  • Hf which is studied in the Stowers paper, noted above, has lower absorption at 6.5 nm than any of the elements listed above, indicated by transmission figures of 41.89% and 86.5% at 30 nm and 5 nm film thickness, respectively.
  • the compound will advantageously be an oxide of one of these elements, such as tantalum penta ethoxide.
  • the compound can also be a mixture of various oxides. Suitable oxides can also comprise more than one element (i.e. mixed metal oxides).
  • the material can be provided as a sol gel coating and spin coated or vacuum deposited onto the substrate. Ta, W and Zn are particularly suitable for sol gel coating.
  • the metal oxide can be dissolved in simple anhydrous alcohols like ethanol, propanol, butanol or mixture thereof.
  • the concentration may advantageously range from 0.1% to 5% wt.
  • Chelating agents that prevent early hydrolysis may be added.
  • Such chelating agent may be for example organic molecules with a keton or diketon group (e.g. benzoylacetone), typically in equimolar concentrations.
  • a tantalum sol-gel can be obtained using tantalum penta ethoxide ⁇ Ta(OC 2 H 5 ) 5 ⁇ .
  • Solutions can be made in simple, anhydrous alcohols like ethanol, propanol, butanol or mixtures thereof. Concentration range is between 0.1 and 5% wt.
  • the chelating agent benzoylacetone may be added in equimolar concentration to prevent early hydrolysis.
  • the resulting mixture is spin coated at speeds ranging from 1000 to 5000 RPM. After 6.x nm exposure the sol-gel is developed by dissolving the unexposed resist material in an anhydrous alcohol.
  • Different resists may be used in different process steps, just as different EUV wavelengths and even non-EUV wavelengths may be used for less critical patterning steps in the overall device manufacturing process.
  • the different resist types introduced above may be used in the different process steps at sub-11 nm EUV wavelengths, to optimize performance for the individual process and pattern being made.
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • the resist material of the invention can be used in a patterning process which comprises resists comprising multiple layers, in order to protect and/or enhance the invention.
  • the descriptions above are intended to be illustrative, not limiting.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
US14/131,141 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein Active US9261784B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/131,141 US9261784B2 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161505768P 2011-07-08 2011-07-08
PCT/EP2012/060133 WO2013007442A1 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein
US14/131,141 US9261784B2 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Publications (2)

Publication Number Publication Date
US20140212819A1 US20140212819A1 (en) 2014-07-31
US9261784B2 true US9261784B2 (en) 2016-02-16

Family

ID=46208008

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/131,141 Active US9261784B2 (en) 2011-07-08 2012-05-30 Lithographic patterning process and resists to use therein

Country Status (7)

Country Link
US (1) US9261784B2 (ko)
EP (1) EP2729844B1 (ko)
JP (2) JP6236000B2 (ko)
KR (2) KR101909567B1 (ko)
CN (2) CN108594599B (ko)
TW (1) TWI631423B (ko)
WO (1) WO2013007442A1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160238937A1 (en) * 2013-09-26 2016-08-18 National Institute For Materials Science High-sensitivity multilayer resist film and method of increasing photosensitivity of resist film
US11073761B2 (en) 2018-07-31 2021-07-27 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
WO2022016124A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Photoresists containing tantalum
US11415885B2 (en) 2019-10-15 2022-08-16 Samsung Sdi Co., Ltd. Semiconductor photoresist composition, and method of forming patterns using the composition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
WO2015127353A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
FR3023843B1 (fr) 2014-07-21 2016-07-22 Michelin & Cie Polymere modifie le long de la chaine et son procede de synthese
KR102139060B1 (ko) * 2015-09-30 2020-07-29 후지필름 가부시키가이샤 레지스트 조성물과, 이를 이용한 레지스트막, 패턴 형성 방법 및 전자 디바이스의 제조 방법
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230162611A (ko) * 2021-03-26 2023-11-28 제이에스알 가부시끼가이샤 반도체 기판의 제조 방법 및 레지스트 하층막 형성용 조성물

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5283062A (en) 1975-12-30 1977-07-11 Fujitsu Ltd Photoetching method
WO1991001516A2 (en) 1989-07-21 1991-02-07 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
EP0440374A2 (en) 1990-01-30 1991-08-07 Wako Pure Chemical Industries Ltd Chemical amplified resist material
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
US6027854A (en) * 1997-02-28 2000-02-22 Shin-Etsu Chemical Co., Ltd. Polymers chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
US6387517B1 (en) * 1997-02-10 2002-05-14 Commissariat A L'energie Atomique Inorganic polymer material with tantalic acid anhydride base, in particular with high refractive index, mechanically abrasionproof, method of manufacture, optical materials comprising such material
WO2002082184A1 (en) 2001-04-04 2002-10-17 Arch Specialty Chemicals, Inc. Silicon-containing acetal protected polymers and photoresists compositions thereof
US20020182541A1 (en) * 2001-03-12 2002-12-05 Gonsalves Kenneth E. High resolution resists for next generation lithographies
US20030059544A1 (en) 2000-04-28 2003-03-27 Juan-Pablo Bravo-Vasquez Photolytic conversion process to form patterned amorphous film
EP1477847A1 (en) 2002-02-22 2004-11-17 Sony Corporation Resist material and microfabrication method
US20040241579A1 (en) * 2003-05-27 2004-12-02 Shin-Etsu Chemical Co., Ltd. Positive resist material and pattern formation method using the same
US20040241574A1 (en) 2003-03-12 2004-12-02 Junyan Dai Organoelement resists for EUV lithography and methods of making the same
JP2006343608A (ja) 2005-06-10 2006-12-21 Sony Corp レジスト材料の製造方法およびレジスト材料ならびに露光方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2516207B2 (ja) * 1987-03-05 1996-07-24 株式会社日立製作所 放射線感応性材料
JPH0422957A (ja) * 1990-05-17 1992-01-27 Fujitsu Ltd 電離放射線感光材料とパターン形成方法
JPH04107562A (ja) * 1990-08-29 1992-04-09 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JPH05117392A (ja) * 1991-10-30 1993-05-14 Fujitsu Ltd 有機ケイ素重合体およびレジスト組成物
JP2953252B2 (ja) * 1993-01-19 1999-09-27 信越化学工業株式会社 レジスト材料
JPH0792683A (ja) * 1993-09-22 1995-04-07 Hitachi Ltd 放射線感光材料
US5534312A (en) * 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
JP3299214B2 (ja) 1999-03-12 2002-07-08 松下電器産業株式会社 パターン形成材料及びパターン形成方法
JP2001051418A (ja) * 1999-08-05 2001-02-23 Canon Inc 感光性樹脂及び該感光性樹脂を用いたレジスト組成物、並びに該レジスト組成物を用いた半導体装置・露光用マスクの製造方法及び該方法により製造された半導体装置・露光用マスク
JP2004525506A (ja) * 2001-02-05 2004-08-19 クァンティスクリプト・インコーポレーテッド X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP2007086268A (ja) * 2005-09-21 2007-04-05 Toray Ind Inc 感光性シート
TW200736834A (en) * 2005-12-27 2007-10-01 Kansai Paint Co Ltd Active energy ray-curable resin composition and method for forming resist pattern
CN101374650A (zh) * 2006-01-30 2009-02-25 佳能株式会社 制备多层光记录介质的方法和压模以及制造该压模的方法
KR100787450B1 (ko) * 2006-06-20 2007-12-26 삼성에스디아이 주식회사 감광성 페이스트 조성물 이를 이용하여 제조된 플라즈마디스플레이 패널의 격벽 및 이를 포함하는 플라즈마디스플레이 패널
JP2008256838A (ja) * 2007-04-03 2008-10-23 Canon Inc レチクル及びレチクルの製造方法
US7914970B2 (en) * 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP5407941B2 (ja) * 2009-03-09 2014-02-05 信越化学工業株式会社 ポジ型レジスト材料並びにこれを用いたパターン形成方法
US20100255427A1 (en) * 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal photo-sensitive layer and process
CN101963754B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 形成电子器件的方法
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5283062A (en) 1975-12-30 1977-07-11 Fujitsu Ltd Photoetching method
US5061599A (en) * 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
WO1991001516A2 (en) 1989-07-21 1991-02-07 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
EP0440374A2 (en) 1990-01-30 1991-08-07 Wako Pure Chemical Industries Ltd Chemical amplified resist material
US5352564A (en) * 1993-01-19 1994-10-04 Shin-Etsu Chemical Co., Ltd. Resist compositions
US6387517B1 (en) * 1997-02-10 2002-05-14 Commissariat A L'energie Atomique Inorganic polymer material with tantalic acid anhydride base, in particular with high refractive index, mechanically abrasionproof, method of manufacture, optical materials comprising such material
US6027854A (en) * 1997-02-28 2000-02-22 Shin-Etsu Chemical Co., Ltd. Polymers chemically amplified positive resist compositions, and patterning method
US6331378B1 (en) 1998-02-25 2001-12-18 Matsushita Electric Industrial Co., Ltd. Pattern forming method
US20030059544A1 (en) 2000-04-28 2003-03-27 Juan-Pablo Bravo-Vasquez Photolytic conversion process to form patterned amorphous film
US20020182541A1 (en) * 2001-03-12 2002-12-05 Gonsalves Kenneth E. High resolution resists for next generation lithographies
WO2002082184A1 (en) 2001-04-04 2002-10-17 Arch Specialty Chemicals, Inc. Silicon-containing acetal protected polymers and photoresists compositions thereof
EP1477847A1 (en) 2002-02-22 2004-11-17 Sony Corporation Resist material and microfabrication method
US20040241574A1 (en) 2003-03-12 2004-12-02 Junyan Dai Organoelement resists for EUV lithography and methods of making the same
US20040241579A1 (en) * 2003-05-27 2004-12-02 Shin-Etsu Chemical Co., Ltd. Positive resist material and pattern formation method using the same
JP2006343608A (ja) 2005-06-10 2006-12-21 Sony Corp レジスト材料の製造方法およびレジスト材料ならびに露光方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
International Search Report mailed Dec. 11, 2012 in corresponding International Patent Application No. PCT/EP2012/060133.
Jason K. Stowers et al. "Directly patterned inorganic hardmask for EUV lithography," Proc. of SPIE, vol. 7969, pp. 796915-1-796915-11 (2011).
Singapore Search Report and Written Opinion dated Feb. 4, 2015 in corresponding Singapore Patent Application No. 2013091921.

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160238937A1 (en) * 2013-09-26 2016-08-18 National Institute For Materials Science High-sensitivity multilayer resist film and method of increasing photosensitivity of resist film
US9703197B2 (en) * 2013-09-26 2017-07-11 National Institute For Materials Science High-sensitivity multilayer resist film and method of increasing photosensitivity of resist film
US11073761B2 (en) 2018-07-31 2021-07-27 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11789361B2 (en) 2018-07-31 2023-10-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11789362B2 (en) 2018-07-31 2023-10-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11415885B2 (en) 2019-10-15 2022-08-16 Samsung Sdi Co., Ltd. Semiconductor photoresist composition, and method of forming patterns using the composition
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2022016124A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Photoresists containing tantalum

Also Published As

Publication number Publication date
JP2018025823A (ja) 2018-02-15
JP6236000B2 (ja) 2017-11-22
KR102009869B1 (ko) 2019-08-12
US20140212819A1 (en) 2014-07-31
KR101909567B1 (ko) 2018-10-18
WO2013007442A1 (en) 2013-01-17
EP2729844B1 (en) 2021-07-28
KR20180099913A (ko) 2018-09-05
CN103649830A (zh) 2014-03-19
KR20140047120A (ko) 2014-04-21
JP2014521111A (ja) 2014-08-25
JP6637943B2 (ja) 2020-01-29
TW201305736A (zh) 2013-02-01
TWI631423B (zh) 2018-08-01
CN108594599B (zh) 2022-04-22
CN108594599A (zh) 2018-09-28
CN103649830B (zh) 2018-06-01
EP2729844A1 (en) 2014-05-14

Similar Documents

Publication Publication Date Title
US9261784B2 (en) Lithographic patterning process and resists to use therein
US11415886B2 (en) Lithographic patterning process and resists to use therein
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
TW201122570A (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
US9007565B2 (en) Spectral purity filter
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US20120154779A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US9046780B2 (en) Multilayer mirror and lithographic apparatus
NL2007857A (en) Lithographic patterning process and resists to use therein.
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
NL2004994A (nl) Multilayer mirror.
NL2007852A (en) Multilayer mirror and lithographic apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WUISTER, SANDER FREDERIK;KRIVTSUN, VLADIMIR MIHAILOVITCH;YAKUNIN, ANDREI MIKHAILOVICH;REEL/FRAME:032161/0569

Effective date: 20120127

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8