CN117321504A - 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂 - Google Patents

用于低曝光剂量euv辐射的高量子效率干式抗蚀剂 Download PDF

Info

Publication number
CN117321504A
CN117321504A CN202280035831.5A CN202280035831A CN117321504A CN 117321504 A CN117321504 A CN 117321504A CN 202280035831 A CN202280035831 A CN 202280035831A CN 117321504 A CN117321504 A CN 117321504A
Authority
CN
China
Prior art keywords
och
nhch
hfcl
zrcl
rucl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280035831.5A
Other languages
English (en)
Inventor
叶澤安
M·利维
陈俊光
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of CN117321504A publication Critical patent/CN117321504A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本公开提供一种用于产生含金属膜的模块,其包括反应器腔室;用于向所述反应器腔室提供有机金属前体的入口;和用于提供反应性气态物种以与所述有机金属前体反应形成含金属膜的入口。所述反应性气态物种包括具有三个至五个价电子的元素以及一个或多个选自氢、C1‑C3烷基和C1‑C3烷氧基的基团。本公开进一步涉及一种产生所述含金属膜的方法和与其相关的半导体结构。

Description

用于低曝光剂量EUV辐射的高量子效率干式抗蚀剂
技术领域
本公开大体上涉及半导体制造工艺和与其相关的模块。确切地说,本公开涉及可光图案化含金属膜的形成,其可充当极紫外(EUV)光刻工艺的光阻(PR)。本公开进一步涉及含有PR残余物的半导体结构。
背景技术
可靠地生产纳米构件为半导体制造的关键要求之一。随着集成电路(IC)技术的持续小型化,电路构件的大小和间距的尺寸已对处理能力提出另外需求。先进半导体集成电路(IC)和其它装置上的构件的比例调整正推动光刻技术以改进分辨率。
EUV光刻可将光刻技术扩展到其光学极限以外,其倾向于运用当前光刻方法可实现的较小成像源波长,以图案化较小关键尺寸构件。在EUV光刻中,通过将光子从光子源发射到掩模上并将图案印到感光PR上而印刷图案,借此在PR中引起化学反应,在显影之后,去除PR的某些部分以形成图案。
常规光刻技术利用基于聚合物的化学放大型抗蚀剂(CAR),即所谓的湿式PR,其通常借助于典型的旋涂式涂覆工艺图案化。CAR可用作辐射图案化抗蚀剂以使得辐射图案用于改变与图案相对应的CAR的化学结构。由于CAR的量子效率较低,因此应在EUV光刻中使用厚CAR以吸收足够的EUV光用于引发化学反应。厚CAR已经不再满足对如3nm(N3)、2nm(N2)节点或更高的先进技术节点的需求,由于电路的尺寸减小,所述节点需要薄PR。CAR的替代物为可直接光图案化的含金属氧化物的膜(所谓的干式PR)。与CAR相比,可直接光图案化的含金属氧化物的膜通常具有改进的EUV量子效率,使其成为取代CAR的有前景的候选者。然而,使用可直接光图案化的含金属氧化物的膜(例如CoO)将付出极高芯片制造成本。
存在对具有高EUV量子效率的新颖干式PR的迫切需要,使得其可用厚度不仅满足对先进技术节点的需求并且也降低IC制造成本。
发明内容
以下展现本公开的基本构件的简化概述,以便提供对其一些方面的基本理解。
本公开人出乎意料地发现根据本公开的含金属膜展示显著改进的EUV量子效率。因此,在相同透镜(TTL)条件下,EUV辐射的曝光剂量可在很大程度上减少,因此根据本公开的含金属膜特别适用于具有极低EUV辐射剂量的光刻技术。
在一些实施例中,本公开提供一种用于制造含金属膜的模块。所述模块包括反应器腔室;用于向所述反应器腔室提供有机金属前体的入口;和用于提供反应性气态物种的入口,以与所述有机金属前体反应形成含金属膜。所述反应性气态物种包括具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。
在一些实施例中,本公开提供一种制造含金属膜的方法。所述方法包括以下操作:通过使有机金属前体与反应性气态物种反应产生含金属膜。所述反应性气态物种包括具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。
在一些实施例中,本公开提供含有已经历显影工艺的含金属膜的残余物的半导体结构。所述半导体结构包括衬底、所述衬底上的一个或多个构件和所述含金属膜的残余物。
附图说明
当结合附图阅读时,从以下详细描述易于理解本公开的一些实施例的方面。应注意,各种结构可能未按比例绘制,并且各种结构的尺寸可出于论述清楚起见任意增大或减小。
图1展示根据本公开的一实施例的模块的布局。
图2(a)展示根据本公开的一实施例的含金属膜的曝光工艺。
图2(b)展示根据本公开的一实施例的含金属膜的显影工艺。
图3(a)展示根据本公开的一实施例,将在含金属膜上确定的图案转化为布置于与受EUV照射的表面相对的含金属膜的表面上的支撑材料或衬底的工艺。
图3(b)展示根据本公开的一实施例,从图3(a)中的支撑材料或衬底去除图案化含金属膜的工艺。
图3(c)展示在图3(b)中所示的去除工艺之后,根据本公开的一实施例,在支撑材料或衬底上存在含金属膜的残余物。
具体实施方式
为使图式清楚和简明,除非另外规定,否则不同图式中的相同图示元件符号表示相同组件。另外,为了简化描述,可省略熟知步骤和组件的描述和细节。本文中所使用的词“大约”、“大体上”或“基本上”意指组件的值具有预期接近于陈述值或位置的参数。然而,如所属领域中所熟知,始终存在较小差异,使值或位置不能完全成为所陈述值或位置。所属领域中已公认,高达至少百分之十(10%)(并且对于包括半导体掺杂浓度的一些组分,甚至高达百分之二十(20%))的偏差为与所述理想目标完全一致的合理偏差。术语“第一”、“第二”、“第三”等(如在部分组件名称中所使用)在权利要求书和/或特定实施例中用以区分类似组件,并且未必描述时间次序、空间次序、等级次序或任何其它方式的次序。应理解,此类术语可在适当情况下互换,并且本文所描述的实施例还可以除本文所描述或示范的次序外的其它次序操作。术语“一些实施例”意指结合实施例所描述的特定构件、结构或特性包括于本公开的至少一个实施例中。因此,贯穿本说明书出现在各个位置处的短语“在一些实施例中”未必指代同一实施例,但在一些情况下可指代同一实施例。另外,对所属领域的一般技术人员显而易见的,在一个或多个实施例中,可以任何适当方式组合特定构件、结构或特性。
在本说明书中,“正性PR”是指使经曝光区转化以使此类区选择性地可去除的PR。术语“负性PR”是指使得未曝光区更易于去除的PR。本文中所使用的术语“量子效率”定义为每单位体积PR所产生的初级电子数与入射于单位体积上的13.5nm波长下的EUV光子数的比率。本文所描述的分子或半导体的组成或结构参数可借助于任何已知材料表征方法来验证,如RBS、Raman、XRD、FTIR、TEM、SEM等。
以下公开内容提供用于实施本公开的不同构件的各种实施例或实例。组件和布置的特定实例在下文描述。当然,描述仅仅为实例并且并不意图为限制性的。在本申请中,在以下描述中,第一构件的描述形成于第二构件上或上方,可包括通过第一构件与第二构件之间的直接接触而形成的实施例,并且可进一步包括如下实施例,其中另外构件可形成于第一构件与第二构件之间以使得第一构件与第二构件不能够直接接触。另外,在本申请中,可在实例中重复图示元件符号和/或字母。此重复是出于简化和清晰目的,并且并不指示所描述各种实施例和/或配置之间的关系。
下文详细描述本公开的实施例。然而,应理解,由本公开提供的许多适用概念可在多个特定环境中实施。所描述的特定实施例仅为说明性的并且并不限制本公开的范围。
理想干式PR将为EUV吸收体,例如吸收系数大于2μm-1的EUV吸收体,并且可具有相对较宽吸收量变曲线、高熔点、低延展性/高物理稳定性并且易于沉积。通常来说,具有高量子效率的干式PR将具有较小的接近透明的厚度,并且反之亦然。对于负性PR,经大量吸收的光将敏化PR分子,例如交联作用,使得经曝光部分在显影期间比未曝光部分对活性物种具有更多抗性。鉴于此,本公开的一目标为提供一种具有改进的量子效率的作为可直接光图案化膜或干式PR的含金属膜。就此而论,本公开的第一方面提供一种用于制造所述含金属膜的模块。
图1展示根据本公开的一实施例的模块(1)。所述模块(1)包括反应器腔室(11);入口(12),其用于向所述反应器腔室(11)提供有机金属前体(121);和入口(13),其用于提供反应性气态物种(131),以与所述有机金属前体(121)反应形成含金属膜(14),其中所述反应性气态物种(131)包括具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。
任选地,含金属膜(14)可形成于支撑材料或衬底(15)上。所述支撑材料或衬底(15)具有一表面(151)和与所述表面(151)相对的另一表面(152),其中含金属膜(14)产生于表面(151)上。含金属膜(14)可与表面(151)直接接触。含金属膜(14)可不与表面(151)直接接触。支撑材料或衬底(15)的材料不受特别限制。用于典型半导体制造工艺中的任何支撑材料或衬底适于产生含金属膜(14)。支撑材料或衬底(15)的实例包括但不限于呈单晶、多晶或非晶形式的Si、蓝宝石、GaN、ZnO、金属(例如,Al、Cu、Ni或Au)、介电质(例如,氧化物或氮化物)、聚合物、有机金属膜等。表面(151)或(152)的结构不受特别限制。在一些实施例中,表面(151)可为平坦的,其中均方根(RMS)粗糙度小于例如1、2、3、4、5、6、7、8、9或在其它实施例中,表面(151)可具有一个或多个构件(图1中未示)与表面(151)直接接触。在一些实施例中,所述一个或多个构件向外并且沿着垂直于表面(151)的方向延伸,其长度为/>或更大,尤其/>至1μm,并且更优选/>至100nm。沿着垂直于表面(151)的方向延伸的一个或多个构件的长度的实例包括但限于/> 100nm、120nm、140nm、150nm、160nm、180nm、200nm、250nm、300nm、350nm、400nm、450nm、500nm、600nm、700nm、800nm、900nm或1μm。一个或多个构件可周期性地布置于表面(151)上。一个或多个构件可随机地布置于表面(151)上。
模块(1)可为化学气相沉积(CVD)或原子层沉积(ALD)模块。反应器腔室(11)用于提供容纳有机金属前体(121)和反应性气态物种(131)的空间并且容纳在有机金属前体(121)与反应性气态物种(131)之间发生的反应。反应器腔室(11)的布置或类型不受特别限制。为促进有机金属前体(121)与反应性气态物种(131)之间的反应,可任选地于反应器腔室(11)中提供惰性气体等离子体(图中未示)。入口(12)用于向反应器腔室(11)提供有机金属前体(121)。入口(12)的布置或类型不受特别限制。入口(13)用于向反应器腔室(11)提供反应性气态物种(131)。入口(13)的布置或类型不受特别限制。为控制有机金属前体(121)在反应器腔室(11)中的流动,入口(12)可配备有质量流量控制器(MFC)。为控制反应性气态物种(131)在反应器腔室(11)中的流动,入口(13)可配备有MFC。
还可鉴于图1理解产生含金属膜(14)的方法。根据本公开的一实施例,可通过使有机金属前体(121)与反应性气态物种(131)反应来在支撑材料或衬底(15)的表面(151)上产生和沉积含金属膜(14),其中反应性气态物种(121)包括具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。沉积可通过CVD或ALD进行。
本文中所描述的具有三个至五个价电子的元素优选地选自IIIA族的元素、IVA族的元素和VA族的元素。具有三个价电子的元素优选地选自IIIA族元素。更优选地,具有三个价电子的元素为B、Al、Ga或In。具有四个价电子的元素优选选自IVA族元素。更优选地,具有四个价电子的元素为C、Si、Ge或Sn。具有五个价电子的元素优选选自VA族元素。更优选地,具有五个价电子的元素为N、P、As或Sb。
本文所描述的选自氢、C1-C3烷基和C1-C3烷氧基的基团优选为H、CH3或OCH3。更优选地,反应性气态物种(131)为B2H6、CH4、SiH4、GeH4、SnH4、NH3、PH3、AsH3或SbH3。出人意料地,发现使用根据本公开的反应性气态物种(131)可促使在有机金属前体(121)的金属元素与反应性气态物种(131)的具有三个至五个价电子的元素之间建立化学键;此在改进如后续段落中所论述的含金属膜(14)的量子效率方面发挥重要作用。
有机金属前体(121)优选具有下式:M(R1)w(R2)x(R3)y(R4)Z,其中
M表示价数为2至4的金属元素,
R1至R4各自独立地表示卤基、C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基,
w、x、y和z各自独立地表示0至4的整数,
其中2≤w+x+y+z≤4,并且R1、R2、R3和R4中的至少一个表示C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基。
M优选地表示Ti、Zn、Zr、Ru、Sn、Sb或Hf,并且更优选地表示Sn或Sb。在R1至R4中,卤基优选为F、Cl、Br或I,并且更优选为F或Cl。优选地,M和R1至R4选自以下组合:
C1-C6烷基优选为-CH3、-C2H5、-C3H7、-C4H9、-C5H11或-C6H13,并且更优选为-CH3或-C2H5。C1-C6烷氧基优选为-OCH3、-OC2H5、-OC3H7、-OC4H9、-OC5H11或-OC6H13,并且更优选为-OCH3或-OC2H5。酰胺基优选为-NH2。烷氨基优选为-NHCH3、-NHC2H5、-NHC3H7、-NHC4H9、-NHC5H11或-NHC6H13并且更优选为-NHCH3或-NHC2H5。(二-C1-C6烷基)氨基优选为-N(CH3)2、-N(C2H5)2、-N(C3H7)2、-N(C4H9)2、-N(C5H11)2或-N(C6H13)2,并且更优选为-N(CH3)2或-N(C2H5)2
在一些实施例中,有机金属前体(121)可为Ti(CH3)4、TiCl(CH3)3、TiCl2(CH3)2、TiCl3CH3、ZnClCH3、Zn(CH3)2、Zr(CH3)4、ZrCl(CH3)3、ZrCl2(CH3)2、ZrCl3CH3、Ru(CH3)4、RuCl(CH3)3、RuCl2(CH3)2、RuCl3CH3、Sn(CH3)4、SnCl(CH3)3、SnCl2(CH3)2、SnCl3CH3、SbCl(CH3)2、SbCl2CH3、Sb(CH3)3、Hf(CH3)4、HfCl(CH3)3、HfCl2(CH3)2、HfCl3CH3、Ti(OCH3)4、TiCl(OCH3)3、TiCl2(OCH3)2、TiCl3OCH3、ZnClOCH3、Zn(OCH3)2、Zr(OCH3)4、ZrCl(OCH3)3、ZrCl2(OCH3)2、ZrCl3OCH3、Ru(OCH3)4、RuCl(OCH3)3、RuCl2(OCH3)2、RuCl3OCH3、Sn(OCH3)4、SnCl(OCH3)3、SnCl2(OCH3)2、SnCl3OCH3、SbCl(OCH3)2、SbCl2OCH3、Sb(OCH3)3、Hf(OCH3)4、HfCl(OCH3)3、HfCl2(OCH3)2、HfCl3OCH3、Ti(NH2)4、TiCl(NH2)3、TiCl2(NH2)2、TiCl3NH2、ZnClNH2、Zn(NH2)2、Zr(NH2)4、ZrCl(NH2)3、ZrCl2(NH2)2、ZrCl3NH2、Ru(NH2)4、RuCl(NH2)3、RuCl2(NH2)2、RuCl3NH2、Sn(NH2)4、SnCl(NH2)3、SnCl2(NH2)2、SnCl3NH2、SbCl(NH2)2、SbCl2NH2、Sb(NH2)3、Hf(NH2)4、HfCl(NH2)3、HfCl2(NH2)2、HfCl3NH2、Ti(NHCH3)4、TiCl(NHCH3)3、TiCl2(NHCH3)2、TiCl3NHCH3、ZnClNHCH3、Zn(NHCH3)2、Zr(NHCH3)4、ZrCl(NHCH3)3、ZrCl2(NHCH3)2、ZrCl3NHCH3、Ru(NHCH3)4、RuCl(NHCH3)3、RuCl2(NHCH3)2、RuCl3NHCH3、Sn(NHCH3)4、SnCl(NHCH3)3、SnCl2(NHCH3)2、SnCl3NHCH3、SbCl(NHCH3)2、SbCl2NHCH3、Sb(NHCH3)3、Hf(NHCH3)4、HfCl(NHCH3)3、HfCl2(NHCH3)2、HfCl3NHCH3、Ti[N(CH3)2]4、TiCl[N(CH3)2]3、TiCl2[N(CH3)2]2、TiCl3N(CH3)2、ZnClN(CH3)2、Zn[N(CH3)2]2、Zr[N(CH3)2]4、ZrCl[N(CH3)2]3、ZrCl2[N(CH3)2]2、ZrCl3N(CH3)2、Ru[N(CH3)2]4、RuCl[N(CH3)2]3、RuCl2[N(CH3)2]2、RuCl3N(CH3)2、Sn[N(CH3)2]4、SnCl[N(CH3)2]3、SnCl2[N(CH3)2]2、SnCl3N(CH3)2、SbCl[N(CH3)2]2、SbCl2N(CH3)2、Sb[N(CH3)2]3、Hf[N(CH3)2]4、HfCl[N(CH3)2]3、HfCl2[N(CH3)2]2或HfCl3N(CH3)2
用于产生含金属膜(14)的优化参数如下:
●温度:50℃至600℃。举例来说,温度范围的下限可为50、100、150、200、250、300、350、400、450、500或550℃。温度范围的上限可为100、150、200、250、300、350、400、450、500、550或600℃。适于产生含金属膜(14)的温度范围可为如上文所描述的下限中的一个与上限中的一个的组合。
●工作压力:100至30000mTorr。举例来说,工作压力范围的下限可为100、500、1000、2000、4000、5000、6000、8000、10000、12000、14000、15000、16000、18000、20000、22000、24000、25000、26000或28000mTorr。工作压力范围的上限可为500、1000、2000、4000、5000、6000、8000、10000、12000、14000、15000、16000、18000、20000、22000、24000、25000、26000、28000或30000mTorr。适于产生含金属膜(14)的工作压力范围可为如上文所描述的下限中的一个与上限中的一个的组合。
●有机金属前体(121)的流动速率:0.01至1000sccm。举例来说,有机金属前体(121)的流动速率范围的下限可为0.01、0.05、0.1、0.5、1、5、10、20、40、50、60、80、100、200、400、500、600或800sccm。有机金属前体(121)的流动速率范围的上限可为0.05、0.1、0.5、1、5、10、20、40、50、60、80、100、200、400、500、600、800或1000sccm。适于产生含金属膜(14)的有机金属前体(121)的流动速率范围可为如上文所描述的下限中的一个与上限中的一个的组合。
●反应性气态物种(131)的流动速率:10至10000sccm。举例来说,气态物种(131)的流动速率范围的下限可为10、50、100、500、1000、1500、2000、2500、3000、3500、4000、4500、5000、5500、6000、6500、7000、7500、8000、8500、9000或9500sccm。气态物种(131)的流动速率范围的上限可为50、100、500、1000、1500、2000、2500、3000、3500、4000、4500、5000、5500、6000、6500、7000、7500、8000、8500、9000、9500或10000sccm。适于产生含金属膜(14)的气态物种(131)的流动速率范围可为如上文所描述的下限中的一个与上限中的一个的组合。
●在一些实施例中,若惰性气体等离子体提供于反应器腔室(11)中,则在13.56MHz、27.1MHz或更高的RF频率下,等离子体功率可在每12英寸晶片台10至1000W范围内。举例来说,等离子体功率范围的下限可为10、50、100、150、200、250、300、350、400、450、500、550、600、650、700、750、800、850、900或950W。举例来说,等离子体功率范围的上限可为50、100、150、200、250、300、350、400、450、500、550、600、650、700、750、800、850、900、950或1000W。适于产生含金属膜(14)的等离子体功率范围可为如上文所描述的下限中的一个与上限中的一个的组合。
因此,根据本文所描述的方法制造的含金属膜(14)的厚度可在10和范围内。举例来说,厚度范围的下限可为10、50、100、150、200、250、300、350、400、450、500、600、700、800、900、1000、1200、1400、1500、1600或/>厚度范围的上限可为50、100、150、200、250、300、350、400、450、500、600、700、800、900、1000、1200、1400、1500、1600、1800或厚度范围可为如上文所描述的下限中的一个与上限中的一个的组合。
虽然不受理论束缚,但本公开人认为与产生含金属膜(14)的方法相关的化学反应可说明如下。
●实例1:
●实例2:
●实例3:
●实例4:
相同化学反应可应用于如先前所提及的其它有机金属前体(121)和反应性气态物种(131)并且在本文中不再重复。
根据本公开的方法进一步包括使所述含金属膜(14)的至少一部分曝光于EUV辐射。图2(a)和图2(b)展示对于EUV辐射敏感并通过吸收EUV光子产生初级电子的含金属膜(14),其可通过用布置有预定图案的光掩模(3)曝光于EUV辐射(2)而直接(即,不使用另外PR)图案化。图2(a)示出曝光步骤,其在含金属膜(14)中产生未曝光部分(141)和经曝光部分(142)。
根据本公开的含金属膜(14)为EUV PR。当EUV光子由所述含金属膜(14)吸收时,产生光电子。光电子具有在约50eV或更低至约100eV或更高之间的能量,并且在含金属膜(14)中随机散射。因此,这些高能光电子中的一些将经由所述含金属膜(14)传播,并且经由沿途的电离事件产生一连串二次电子。对于任何给定光电子,可产生还以随机方式传播的若干二次电子。当二次电子经由含金属膜(14)传播时,其在构成含金属膜(14)的分子的有机基团当中引起交联事件。这些电子提高含金属膜(14)中的化学反应的程度,从而增加其EUV剂量敏感性。
在曝光期间,与实例1至4中所示范的含金属膜(14)的经曝光部分(142)中的交联事件相关的化学反应说明如下:
●实例5:
●实例6:
●实例7:
●实例8:
/>
与曝光期间的交联事件相关联的相同化学反应可应用于含金属膜(14),其基于如先前所提及的其它有机金属前体(121)和反应性气态物种(131)制成,并且在此不再重复。
曝光步骤允许在不使用另外PR的情况下通过真空环境中的EUV曝光直接图案化含金属膜(14)。确切地说,含金属膜(14)的形成、处理和运输可在真空环境中进行。相比于CAR,含有根据本公开的含金属膜(14)的晶片可在干式条件下从一个模块(例如用于形成含金属膜的模块)移动到另一模块(例如用于光刻的模块),使得可实现“干入、干出”过程并因此可避免或缓解由潮湿引起的分解。在一些实施例中,模块(1)可与如晶片步进器(例如,由阿斯麦(ASML)供应的TWINSCAN NXE系列平台)的EUV光刻平台集成,并且上面沉积有含金属膜(14)的支撑材料或衬底(15)可在真空下移动以免在曝光之前发生反应。与光刻工具的集成为切实可行的,这是因为EUV光刻平台还需要压力大幅降低的环境以防止如H2O、O2等环境气体对入射光子的强光吸收。
根据本公开的方法进一步包括使所述经曝光的含金属膜显影以去除所述含金属膜的一部分。在EUV曝光之后,接着可显影经图案化含金属膜(14)。图2(b)展示显影所述经图案化含金属膜(14)的后续步骤。含有经曝光含金属膜(14)的晶片可从EUV光刻平台移出以进行图案显影。图2(a)中展示的含金属膜(14)的未曝光部分(141)经去除,并且保留经曝光部分(142)。因为未曝光部分(141)中的分子尚未交联,所以未曝光部分(141)在显影期间相比于经曝光部分(142)具有对活性物种(例如,来自等离子体、蚀刻气体或溶液的活性物种)的较低抗性,并且因此可比经曝光部分(142)更易于去除。在一些实施例中,可通过常规的等离子体灰化、干式蚀刻系统(例如反应性离子蚀刻(RIE))或湿式显影工艺去除未曝光部分(141)。可能期望在EUV光刻系统外部进行图案显影,以避免由于含金属膜(14)的交联反应而使系统光学件被任何不相容副产物污染。
经曝光部分(142)含有分子量比未曝光部分(141)更大的交联材料,从而导致于选择性有机溶剂中的溶解度显著降低。因此,未曝光部分(141)可通过在湿式显影工艺中使用适合的有机溶剂(如异丙醇、乙酸正丁酯或2-庚酮)而去除。
尽管前述实施例中所说明的含金属膜(14)充当负性PR,但根据本公开的相对概念可在含金属膜(14)充当正性PR时应用于其上。
含金属膜(14)可用作硬掩模以将其图案转移到底层支撑材料或衬底(15)。如图3(a)中所示,已在显影期间去除未曝光部分(141)之后,曝光底层支撑材料或衬底(15)。通过采用恰当蚀刻方式用于支撑材料或衬底(15),布置于含金属膜(14)上的预定图案可成功地转移到支撑材料或衬底(15)。在一些实施例中,去除图2(b)中所示的未曝光部分(141)和图3(a)中所示的底层支撑材料或衬底(15)可连续进行或通过同一操作进行。
图3(b)展示一旦预定图案已从含金属膜(14)转移到支撑材料或衬底(15)后,经图案化含金属膜(14)的经曝光部分(142)可例如通过现有技术,如等离子体灰化、干式蚀刻系统(例如反应性离子蚀刻(RIE))或湿式蚀刻工艺去除。如图3(c)中所示,可形成支撑材料或衬底(15)的凹陷部分(未示)。
一般来说,由于电路的结构阴影作用,若例如由于一个或多个表面构件(153)的存在,支撑材料或衬底(15)的凹陷部分为不平坦的,则含金属膜(14)可能不可完全去除。如图3(c)中所示,其为图3(b)中的圆圈部分(4)的放大视图,含金属膜(14)的经曝光部分(142)的残余物可存在于支撑材料或衬底(15)上。确切地说,经曝光部分(142)的残余物可存在于支撑材料或衬底(15)与构件(153)之间的拐角或边缘处。支撑材料或衬底(15)和构件(153)可具有相同材料。支撑材料或衬底(15)和构件(153)可具有不同材料。
本公开进一步涉及一种半导体结构,其包括支撑材料或衬底(15);在所述支撑材料或衬底(15)上的一个或多个构件(153);和含金属膜(14)的经曝光部分(142)的残余物,其中所述残余物包括价数为2至4的第一金属元素,所述第一金属元素与具有三个至五个价电子的元素和价数2至4的第二金属元素化学键结。
第一金属元素优选地由具有三个至五个价电子的元素中的两种或更多种围绕。优选地,第一金属元素为Ti、Zn、Zr、Ru、Sn、Sb或Hf。
具有三个至五个价电子的元素与价数为2至4的第三过渡元素化学键结。更优选地,具有三个至五个价电子的元素选自IIIA族的元素、IVA族的元素和VA族的元素。具有三个价电子的元素优选选自IIIA族的元素。更优选地,具有三个价电子的元素为B、Al、Ga或In。具有四个价电子的元素优选选自IVA族的元素。更优选地,具有四个价电子的元素为C、Si、Ge或Sn。具有五个价电子的元素优选选自VA族的元素。更优选地,具有五个价电子的元素为N、P、As或Sb。
本文所描述的第二金属元素为Ti、Zn、Zr、Ru、Sn、Sb或Hf,优选为Sn或Sb。
残余物接近或邻近于构件(153)。残余物在构件(153)上,例如,在构件(153)的侧表面上。
比较实施例
在其中CO2用作反应性气态物种(131)的比较实施例中,同时不受理论束缚,本公开人认为与产生含金属膜(14)的方法相关的化学方法如下:
●实例9:
在EUV曝光期间,以下交联化学反应将发生于含金属膜(14)的经曝光部分(142)中:
●实例10:
出人意料地,发现根据本公开的含金属膜(14)在13.5nm EUV下的吸收效率比用二氧化碳作为反应性气态物种(131)形成的含金属膜(14)的吸收效率大至少25、50、75、100、125、150、175、200或250%。在一些实施例中,用作PR的含金属膜(14)的效率可通过全曝光(flood exposure)测试评估。根据本公开的全曝光测试可包括以下步骤:(1)在衬底的多个区域上形成具有固定厚度的含金属膜(14);(2)将具有不同曝光剂量的EUV施加到多个区域以曝光含金属膜(14);(3)使经曝光的含金属膜(14)显影;和(4)使用外推法以测定在显影步骤后使含金属膜(14)完全保留的最小EUV曝光剂量(临界剂量)。相比于用二氧化碳作为反应性气态物种(131)形成的含金属膜(14),根据本公开的含金属膜(14)需要较小临界剂量。所述临界剂量与所述含金属膜(14)的吸收效率成反比。
在根据本公开的一些实施例中,所述含金属膜(14)在原始分子中包括七个锡原子并展现比根据比较实施例的含金属膜的吸收效率大75%的吸收效率。在根据本公开的一些实施例中,含金属膜(14)在原始分子中包括十个锡原子并展现比根据比较实施例的含金属膜的吸收效率大150%的吸收效率。尽管不受理论束缚,但据信根据本公开的含金属膜(14)的吸收效率的改进是归因于每单位体积含金属膜(14)的金属数目的增加。此外,如上文所提及,PR的量子效率定义为每单位体积PR所产生的初级电子数与入射于单位体积上的EUV光子数的比率。由于根据本公开的含金属膜(14)的吸收效果已得到改进,因此可预期可因此在很大程度上改进含金属膜(14)的量子效率。
为易于描述,空间相关术语,如“下方”、“之下”、“下部”、“上方”、“上部”、“下部”、“左侧”、“右侧”等可在本文中用于描述如图式中所示的一个组件或构件与另一组件或构件之间的关系。除了诸图中所展示的定向以外,空间相关术语意图涵盖装置在使用或操作中的不同定向。装置可以其它方式(旋转90度或处于其它方向)定向,并且本文中所使用的空间相关描述词也可相应地用于解释。应理解,在组件“连接”或“耦合”到另一组件时,所述组件可直接连接到或耦合到另一组件,或可存在中间组件。
如本文所用,术语“大约”、“基本上”、“大体上”和“约”用于描述和考虑较小变化。当与事件或情形结合使用时,所述术语可指其中事件或情形准确发生的情况,或其中事件或情形大致发生的情况。如本文所用,关于既定值或范围,术语“约”一般意指在既定值或范围的±10%、±5%、±1%或±0.5%范围内。范围可在本文中指示为从一个端点到另一端点或在两个端点之间。除非另外规定,否则本公开中所指示的所有范围包括端点。术语“大体上共面”可指沿着相同平面定位的在数微米(μm)内的两个表面,如沿着相同平面定位的在10μm内、在5μm内、在1μm内或在0.5μm内。当提及“大体上”相同数值或特性时,所述术语可指值的平均值的±10%、±5%、±1%或±0.5%内的值。
上文简单描述本公开的若干实施例和构件的细节。本公开中所描述的实施例可易于用作设计或修改的其它工艺和结构的基础,其用于实现相同或类似目标和/或获得在本公开的实施例中引入的相同或类似优点。此类等效构造并不脱离本公开的精神和范围,并且可在不脱离本公开的精神和范围的情况下进行各种变化、替代和修改。

Claims (31)

1.一种模块,其包含
反应器腔室;
用于向所述反应器腔室提供有机金属前体的入口;和
用于提供反应性气态物种以与所述有机金属前体反应形成含金属膜的入口,其中所述反应性气态物种包含具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。
2.根据权利要求1所述的模块,其中所述含金属膜为极紫外(EUV)光阻。
3.根据权利要求1所述的模块,其中具有三个至五个价电子的所述元素选自IIIA族元素、IVA族元素和VA族元素。
4.根据权利要求1所述的模块,其中具有三个至五个价电子的所述元素为B、Al、Ga、In、C、Si、Ge、Sn、N、P、As或Sb。
5.根据权利要求1所述的模块,其中所述基团为H、CH3或OCH3
6.根据权利要求1所述的模块,其中所述反应性气态物种为B2H6、CH4、SiH4、GeH4、SnH4、NH3、PH3、AsH3或SbH3
7.根据权利要求1所述的模块,其中所述有机金属前体具有下式:M(R1)w(R2)x(R3)y(R4)Z,其中
M表示具有2至4价数的金属元素,
R1至R4各自独立地表示卤基、C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基,
w、x、y和z各自独立地表示0至4的整数,
其中2≤w+x+y+z≤4,并且R1、R2、R3和R4中的至少一个表示C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基。
8.根据权利要求7所述的模块,其中M为Ti、Zn、Zr、Ru、Sn、Sb或Hf。
9.根据权利要求7所述的模块,其中所述有机金属前体为Ti(CH3)4、TiCl(CH3)3、TiCl2(CH3)2、TiCl3CH3、ZnClCH3、Zn(CH3)2、Zr(CH3)4、ZrCl(CH3)3、ZrCl2(CH3)2、ZrCl3CH3、Ru(CH3)4、RuCl(CH3)3、RuCl2(CH3)2、RuCl3CH3、Sn(CH3)4、SnCl(CH3)3、SnCl2(CH3)2、SnCl3CH3、SbCl(CH3)2、SbCl2CH3、Sb(CH3)3、Hf(CH3)4、HfCl(CH3)3、HfCl2(CH3)2、HfCl3CH3、Ti(OCH3)4、TiCl(OCH3)3、TiCl2(OCH3)2、TiCl3OCH3、ZnClOCH3、Zn(OCH3)2、Zr(OCH3)4、ZrCl(OCH3)3、ZrCl2(OCH3)2、ZrCl3OCH3、Ru(OCH3)4、RuCl(OCH3)3、RuCl2(OCH3)2、RuCl3OCH3、Sn(OCH3)4、SnCl(OCH3)3、SnCl2(OCH3)2、SnCl3OCH3、SbCl(OCH3)2、SbCl2OCH3、Sb(OCH3)3、Hf(OCH3)4、HfCl(OCH3)3、HfCl2(OCH3)2、HfCl3OCH3、Ti(NH2)4、TiCl(NH2)3、TiCl2(NH2)2、TiCl3NH2、ZnClNH2、Zn(NH2)2、Zr(NH2)4、ZrCl(NH2)3、ZrCl2(NH2)2、ZrCl3NH2、Ru(NH2)4、RuCl(NH2)3、RuCl2(NH2)2、RuCl3NH2、Sn(NH2)4、SnCl(NH2)3、SnCl2(NH2)2、SnCl3NH2、SbCl(NH2)2、SbCl2NH2、Sb(NH2)3、Hf(NH2)4、HfCl(NH2)3、HfCl2(NH2)2、HfCl3NH2、Ti(NHCH3)4、TiCl(NHCH3)3、TiCl2(NHCH3)2、TiCl3NHCH3、ZnClNHCH3、Zn(NHCH3)2、Zr(NHCH3)4、ZrCl(NHCH3)3、ZrCl2(NHCH3)2、ZrCl3NHCH3、Ru(NHCH3)4、RuCl(NHCH3)3、RuCl2(NHCH3)2、RuCl3NHCH3、Sn(NHCH3)4、SnCl(NHCH3)3、SnCl2(NHCH3)2、SnCl3NHCH3、SbCl(NHCH3)2、SbCl2NHCH3、Sb(NHCH3)3、Hf(NHCH3)4、HfCl(NHCH3)3、HfCl2(NHCH3)2、HfCl3NHCH3、Ti[N(CH3)2]4、TiCl[N(CH3)2]3、TiCl2[N(CH3)2]2、TiCl3N(CH3)2、ZnClN(CH3)2、Zn[N(CH3)2]2、Zr[N(CH3)2]4、ZrCl[N(CH3)2]3、ZrCl2[N(CH3)2]2、ZrCl3N(CH3)2、Ru[N(CH3)2]4、RuCl[N(CH3)2]3、RuCl2[N(CH3)2]2、RuCl3N(CH3)2、Sn[N(CH3)2]4、SnCl[N(CH3)2]3、SnCl2[N(CH3)2]2、SnCl3N(CH3)2、SbCl[N(CH3)2]2、SbCl2N(CH3)2、Sb[N(CH3)2]3、Hf[N(CH3)2]4、HfCl[N(CH3)2]3、HfCl2[N(CH3)2]2或HfCl3N(CH3)2
10.一种半导体结构,其包含
衬底,
所述衬底上的一个或多个构件,和
含金属残余物
其中所述含金属残余物包含具有2至4价数的第一金属元素,其与具有三个至五个价电子的元素和具有2至4价数的第二金属元素化学键结。
11.根据权利要求10所述的半导体结构,其中所述第一金属元素由两个或更多个具有三个至五个价电子的所述元素围绕。
12.根据权利要求10所述的半导体结构,其中具有三个至五个价电子的所述元素与具有2至4价数的第三过渡元素化学键结。
13.根据权利要求10所述的半导体结构,其中具有三个至五个价电子的所述元素选自IIIA族的元素、IVA族的元素和VA族的元素。
14.根据权利要求10所述的半导体结构,其中具有三个至五个价电子的所述元素为B、Al、Ga、In、C、Si、Ge、Sn、N、P、As或Sb。
15.根据权利要求10所述的半导体结构,其中所述第一金属元素为Ti、Zn、Zr、Ru、Sn、Sb或Hf。
16.根据权利要求10所述的半导体结构,其中所述第二金属元素为Ti、Zn、Zr、Ru、Sn、Sb或Hf。
17.根据权利要求10所述的半导体结构,其中所述残余物接近于所述构件或位于所述构件上。
18.一种方法,其包含
通过使有机金属前体与反应性气态物种反应产生含金属膜,其中所述反应性气态物种包含具有三个至五个价电子的元素以及一个或多个选自氢、C1-C3烷基和C1-C3烷氧基的基团。
19.根据权利要求18所述的方法,其中所述含金属膜对极紫外(EUV)敏感。
20.根据权利要求18所述的方法,其中具有三个至五个价电子的所述元素选自IIIA族的元素、IVA族的元素和VA族的元素。
21.根据权利要求18所述的方法,其中具有三个至五个价电子的所述元素为B、Al、Ga、In、C、Si、Ge、Sn、N、P、As或Sb。
22.根据权利要求18所述的方法,其中所述基团为H、CH3或OCH3
23.根据权利要求18所述的方法,其中所述反应性气态物种为B2H6、CH4、SiH4、GeH4、SnH4、NH3、PH3、AsH3或SbH3
24.根据权利要求18所述的方法,其中所述有机金属前体具有下式:M(R1)w(R2)x(R3)y(R4)Z,其中
M表示具有2至4价数的金属元素,
R1至R4各自独立地表示卤素元素、C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基,
w、x、y和z各自独立地表示0至4的整数,
其中2≤w+x+y+z≤4,并且R1、R2、R3和R4中的至少一个表示C1-C6烷基、C1-C6烷氧基、酰胺基、C1-C6烷氨基或(二-C1-C6烷基)氨基。
25.根据权利要求24所述的方法,其中所述金属元素为Ti、Zn、Zr、Ru、Sn、Sb或Hf。
26.根据权利要求24所述的方法,其中所述有机金属前体为Ti(CH3)4、TiCl(CH3)3、TiCl2(CH3)2、TiCl3CH3、ZnClCH3、Zn(CH3)2、Zr(CH3)4、ZrCl(CH3)3、ZrCl2(CH3)2、ZrCl3CH3、Ru(CH3)4、RuCl(CH3)3、RuCl2(CH3)2、RuCl3CH3、Sn(CH3)4、SnCl(CH3)3、SnCl2(CH3)2、SnCl3CH3、SbCl(CH3)2、SbCl2CH3、Sb(CH3)3、Hf(CH3)4、HfCl(CH3)3、HfCl2(CH3)2、HfCl3CH3、Ti(OCH3)4、TiCl(OCH3)3、TiCl2(OCH3)2、TiCl3OCH3、ZnClOCH3、Zn(OCH3)2、Zr(OCH3)4、ZrCl(OCH3)3、ZrCl2(OCH3)2、ZrCl3OCH3、Ru(OCH3)4、RuCl(OCH3)3、RuCl2(OCH3)2、RuCl3OCH3、Sn(OCH3)4、SnCl(OCH3)3、SnCl2(OCH3)2、SnCl3OCH3、SbCl(OCH3)2、SbCl2OCH3、Sb(OCH3)3、Hf(OCH3)4、HfCl(OCH3)3、HfCl2(OCH3)2、HfCl3OCH3、Ti(NH2)4、TiCl(NH2)3、TiCl2(NH2)2、TiCl3NH2、ZnClNH2、Zn(NH2)2、Zr(NH2)4、ZrCl(NH2)3、ZrCl2(NH2)2、ZrCl3NH2、Ru(NH2)4、RuCl(NH2)3、RuCl2(NH2)2、RuCl3NH2、Sn(NH2)4、SnCl(NH2)3、SnCl2(NH2)2、SnCl3NH2、SbCl(NH2)2、SbCl2NH2、Sb(NH2)3、Hf(NH2)4、HfCl(NH2)3、HfCl2(NH2)2、HfCl3NH2、Ti(NHCH3)4、TiCl(NHCH3)3、TiCl2(NHCH3)2、TiCl3NHCH3、ZnClNHCH3、Zn(NHCH3)2、Zr(NHCH3)4、ZrCl(NHCH3)3、ZrCl2(NHCH3)2、ZrCl3NHCH3、Ru(NHCH3)4、RuCl(NHCH3)3、RuCl2(NHCH3)2、RuCl3NHCH3、Sn(NHCH3)4、SnCl(NHCH3)3、SnCl2(NHCH3)2、SnCl3NHCH3、SbCl(NHCH3)2、SbCl2NHCH3、Sb(NHCH3)3、Hf(NHCH3)4、HfCl(NHCH3)3、HfCl2(NHCH3)2、HfCl3NHCH3、Ti[N(CH3)2]4、TiCl[N(CH3)2]3、TiCl2[N(CH3)2]2、TiCl3N(CH3)2、ZnClN(CH3)2、Zn[N(CH3)2]2、Zr[N(CH3)2]4、ZrCl[N(CH3)2]3、ZrCl2[N(CH3)2]2、ZrCl3N(CH3)2、Ru[N(CH3)2]4、RuCl[N(CH3)2]3、RuCl2[N(CH3)2]2、RuCl3N(CH3)2、Sn[N(CH3)2]4、SnCl[N(CH3)2]3、SnCl2[N(CH3)2]2、SnCl3N(CH3)2、SbCl[N(CH3)2]2、SbCl2N(CH3)2、Sb[N(CH3)2]3、Hf[N(CH3)2]4、HfCl[N(CH3)2]3、HfCl2[N(CH3)2]2或HfCl3N(CH3)2
27.根据权利要求18所述的方法,其进一步包含使所述含金属膜的至少一部分曝光于极紫外(EUV)辐射。
28.根据权利要求27所述的方法,其进一步包含使所述经曝光的含金属膜显影以去除所述含金属膜的一部分。
29.根据权利要求18所述的方法,其中在13.5nm EUV下所述含金属膜的吸收效率比在13.5nm EUV下用二氧化碳作为所述反应性气态物种形成的含金属膜的吸收效率大至少25%、50%、75%、100%、125%、150%、175%、200%或250%。
30.根据权利要求18所述的方法,其中所述含金属膜为半导体结构的元件。
31.根据权利要求18所述的方法,其中所述含金属膜为EUV光阻。
CN202280035831.5A 2021-04-23 2022-04-22 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂 Pending CN117321504A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163178911P 2021-04-23 2021-04-23
US63/178,911 2021-04-23
PCT/US2022/025954 WO2022226310A1 (en) 2021-04-23 2022-04-22 High quantum efficiency dry resist for low exposure dose of euv radiation

Publications (1)

Publication Number Publication Date
CN117321504A true CN117321504A (zh) 2023-12-29

Family

ID=83722655

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280035831.5A Pending CN117321504A (zh) 2021-04-23 2022-04-22 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂

Country Status (7)

Country Link
US (1) US20220350242A1 (zh)
EP (1) EP4327161A1 (zh)
JP (1) JP2024517676A (zh)
KR (1) KR20230170786A (zh)
CN (1) CN117321504A (zh)
TW (1) TW202303280A (zh)
WO (1) WO2022226310A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
DE102013212018A1 (de) * 2013-06-25 2015-01-08 Evonik Industries Ag Metalloxid-Prekursoren, sie enthaltende Beschichtungszusammensetzungen, und ihre Verwendung
KR101727259B1 (ko) * 2015-03-18 2017-04-17 연세대학교 산학협력단 산화물 박막 형성 방법 및 산화물 박막 형성 장치
CN112020676A (zh) * 2018-05-11 2020-12-01 朗姆研究公司 制造euv可图案化硬掩模的方法
US20200263297A1 (en) * 2019-02-14 2020-08-20 Asm Ip Holding B.V. Deposition of oxides and nitrides
TW202129058A (zh) * 2019-07-07 2021-08-01 美商應用材料股份有限公司 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積

Also Published As

Publication number Publication date
KR20230170786A (ko) 2023-12-19
WO2022226310A1 (en) 2022-10-27
TW202303280A (zh) 2023-01-16
EP4327161A1 (en) 2024-02-28
US20220350242A1 (en) 2022-11-03
JP2024517676A (ja) 2024-04-23

Similar Documents

Publication Publication Date Title
CN108663898B (zh) 用于暴露到极紫外光的护膜以及光刻系统
US9829805B2 (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
KR20220003967A (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
CN117321504A (zh) 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂
DE102021101486A1 (de) Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur
CN113109995A (zh) 制造半导体器件的方法
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
TWI775425B (zh) 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
TWI830198B (zh) 用於反射光罩的薄膜及其製造方法
US20220028684A1 (en) Photoresist layer outgassing prevention
CN113113292A (zh) 制造半导体器件的方法
CN113050369A (zh) 制造半导体器件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination