TWI775425B - 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 - Google Patents

有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 Download PDF

Info

Publication number
TWI775425B
TWI775425B TW110116443A TW110116443A TWI775425B TW I775425 B TWI775425 B TW I775425B TW 110116443 A TW110116443 A TW 110116443A TW 110116443 A TW110116443 A TW 110116443A TW I775425 B TWI775425 B TW I775425B
Authority
TW
Taiwan
Prior art keywords
euv
pyrazine ring
ligand
transition metal
nitrogen atom
Prior art date
Application number
TW110116443A
Other languages
English (en)
Other versions
TW202214664A (zh
Inventor
劉之誠
郭怡辰
陳彥儒
李志鴻
楊棋銘
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202214664A publication Critical patent/TW202214664A/zh
Application granted granted Critical
Publication of TWI775425B publication Critical patent/TWI775425B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/005Compounds of elements of Group 5 of the Periodic Table without metal-carbon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • C07F9/902Compounds without antimony-carbon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • C07F9/92Aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/94Bismuth compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/003Compounds containing elements of Groups 3 or 13 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2284Compounds with one or more Sn-N linkages

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供一種用於極紫外光(EUV)微影的有機金屬前驅物。有機金屬前驅物包括芳香性雙牙配位基,配位至該芳香性雙牙配位基的過渡金屬,以及配位至過渡金屬的極紫外光可裂解配位基。該芳香性雙牙配位基包括複數個吡嗪分子。

Description

有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方 法
本揭示內容是關於一種有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法。
半導體積體電路(integrated circuit,IC)產業經歷了指數式增長。IC材料與設計的技術進步產生了數個世代的IC,其中每一代都具有比前一代更小且更複雜的電路。在IC演進的過程中,通常增加功能密度(即每晶片面積的互連裝置數量)而減小幾何尺寸(即可以使用製造製程創造的最小組件(或線))。一般上透過提高生產效率和降低相關成本以提供縮放製程的益處。此縮放也增加了處理和製造IC的複雜性,為了實現這些進步,需要對IC處理和製造進行類似的發展。
在一示例性的態樣中,微影是用以在半導體微尺度加工中選擇性地移除材料層的一部分的製程。使用輻射源從光罩轉移圖案(例如幾何圖案)至材料層上的光敏層(例 如光阻層)。輻射會在光敏層的曝光區域引起化學變化(例如增加或減少溶解度)。在曝光之前及/或之後可執行烘烤製程,例如預曝光及/或後曝光烘烤製程。顯影製程接著以顯影劑溶液選擇性地移除曝光區域或未曝光區域,從而在材料層中形成曝光圖案。為了改進微影製程的分辨率(resolution)以容納具有高功能密度的IC裝置,出現了波長較短的輻射源。其中之一是極紫外光(extreme ultraviolet,EUV)輻射源。儘管現有的極紫外光阻通常足以滿足其預期用途,它們仍不能完全令人滿意。需要其他改進。
根據本揭示之一實施方式,提供一種有機金屬前驅物,包含一芳香性雙牙配位基;一過渡金屬,配位至芳香性雙牙配位基;以及一極紫外光可裂解配位基,配位至過渡金屬,其中芳香性雙牙配位基包含複數個吡嗪分子。
根據本揭示之一實施方式,提供一種極紫外光阻前驅物,包含:一芳香性雙牙配位基,包含一第一吡嗪環與一第二吡嗪環;一過渡金屬,配位至第一吡嗪環上的一氮原子與第二吡嗪環上的一氮原子;一第一極紫外光可裂解配位基,配位至過渡金屬;以及一第二極紫外光可裂解配位基,配位至過渡金屬。
根據本揭示之一實施方式,提供一種處理光阻層的方法,包含:直接沉積一光阻層於一材料層上,其中光阻 層包括一前驅物,前驅物包含:一芳香性雙牙配位基,包含一第一吡嗪環與一第二吡嗪環,第一吡嗪環包含一第一氮原子與一第二氮原子,第二吡嗪環包含一第一氮原子與一第二氮原子,一過渡金屬,配位至第一吡嗪環上的第一氮原子與第二吡嗪環上的第一氮原子,及一第一極紫外光可裂解配位基與一第二極紫外光可裂解配位基,配位至過渡金屬;以及曝光光阻層的一部分至極紫外光輻射,以從過渡金屬裂解出第一極紫外光可裂解配位基與第二極紫外光可裂解配位基,及活化第一吡嗪環上的第二氮原子與第二吡嗪環上的第二氮原子。
100:有機金屬前驅物
102:芳香性雙牙配位基
104:金屬離子
106:極紫外光可裂解配位基
200:方法
202:方塊
204:方塊
206:方塊
208:方塊
210:方塊
212:方塊
214:方塊
300:工件
301:基板
302:材料層
304:光阻層
308:無曝光部分
310:曝光部分
312:光阻層
314:開口
316:凹槽
400:預曝光處理製程
500:微影系統
502:EUV光源
504:照明器
506:遮罩台
508:遮罩
510:投影光學元件
512:瞳相位調變器
514:基板台
600:後曝光烘烤製程
700:乾蝕刻製程
當結合附圖閱讀時,自以下詳細敘述可以最佳地理解本揭示的態樣。所強調的是,根據行業中標準實務,各特徵未按比例繪製,並僅用以說明目的。事實上,為論述的清楚性,各特徵之尺寸可任意地增加或縮減。
第1圖示意性地示出根據本揭示的多個態樣之有機金屬前驅物的分子結構。
第2圖與第3圖示意性地示出根據本揭示的多個態樣之由於EUV輻射的入射對有機金屬前驅物分子的配位的變化。
第4圖示意性地示出根據本揭示的多個態樣之有機金屬前驅物分子的有序交聯。
第5圖示出根據本揭示的多個態樣之用於對工件進行圖案 化的方法200的流程圖。
第6圖至第11圖示出根據本揭示的多個態樣之在第5圖的方法200的多個步驟中的工件的局部橫截面圖。
以下揭示提供多個不同實施方式或實施例,以實現所提供之申請標的不同特徵。以下敘述部件、數值、操作、材料、排列或其類似的特定實施例,以簡化本揭示。這些當然僅為實施例,並非用以作為限制。其他部件、數值、操作、材料、排列或其類似亦被考慮。舉例而言,在隨後的敘述中,在第二特徵上方或在第二特徵上之第一特徵的形成,可包括第一特徵及第二特徵形成為直接接觸之實施方式,亦可包括有另一特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施方式。另外,本揭示可在各實施例中重複元件符號及/或字母。此重複為出於簡化及清楚之目的,且本身不指示所論述的各實施方式及/或結構之間的關係。
此外,本文中可使用空間性相對用詞,例如「下方(beneath)」、「低於(below)」、「下(lower)」、「之上(above)」、「上(upper)」及其類似用語,係利於敘述圖式中一個元件或特徵與另一個元件或特徵的關係。這些空間性相對用詞本意上涵蓋除了圖中所繪示的位向之外,亦涵蓋使用或操作中之裝置的不同位向。設備亦可被轉換成其他位向(旋轉90度或其他位向),因此本 文中使用的空間性相對敘述以應做類似的解釋。
此外,當使用「大約(about)」、「近似(approximate)」及其類似用詞來敘述一個數值或一數值範圍時,此用詞旨在涵蓋在合理範圍內的數值,考慮到在製造過程中固有地產生的變化,如本領域中具有通常知識者所能理解的。舉例而言,數值或數值範圍涵蓋包括此數值的合理範圍,例如基於製造特徵相關的已知製造公差,此數值或數值範圍位於此數值的+/-10%之內。舉例而言,在本領域中具有通常知識者已知沈積材料層相關的製造公差為+/-15%的情形下,具有「約5nm」的厚度的材料層的厚度可以為4.25nm至5.75nm的尺寸範圍內。此外,本揭示可以在多個實例中重複圖式標號及/或字母。此重複僅是為了簡化和清楚起見,其並非指出在所討論的多種實施方式及/或配置之間的關係。
本揭示大致上有關於極紫外光EUV光微影,更具體地有關於極紫外光阻中的有機金屬前驅物。
一些現有的極紫外光阻的形式是包括陽離子物種與陰離子物種的溶液。陰離子物種包括配位至對EUV穩定的配位基與橋聯配位基之金屬離子。橋聯配位基作為交聯劑以配位至另一個金屬離子。某些現有EUV中的橋聯配位基的例子可包括草酸根離子(C2O4 2-)。這些極紫外光阻對多個表面具有不良的附著力。為了改善附著力,需要表面處理或一附著力促進層以確保合適的附著力。附著力促進層的例子可以包括六甲基二矽氮烷 (hexamethyldisilanzne,HMDS)。此外,由於橋聯配位基是EUV-可裂解的並同時作為交聯劑,因此難以很好地控制由EUV引發的交聯過程。另一方面,需要從金屬離子裂解出一些橋聯配位基以形成用於交聯的非配位位點。然而,一些橋聯配位基需要保持配位至金屬離子以作為交聯劑。當沒有裂解出橋聯配位基或裂解出所有橋聯配位基時,交聯可能是不令人滿意的。此外,由於缺乏結構匹配,現有的極紫外光阻的層沿著其深度可能具有一交聯梯度。在一些實施例中,靠近頂表面的交聯度較高,而遠離頂表面的交聯度較低。此交聯梯度可能會導致浮渣缺陷、不良的線邊緣粗糙度(line edge roughness,LER)與顯影後的低微影對比度。
本揭示提供一種在光阻中的有機金屬前驅物,有機金屬前驅物包括具有用於分子間配位之結構匹配的芳香性雙牙配位基。在本揭示的一實施方式中,有機金屬前驅物包括2,2’-聯吡嗪分子,其中2,2’-聯吡嗪分子配位至具有高EUV吸收的過渡金屬。過渡金屬亦配位至極紫外光可裂解配位基,而極紫外光可裂解配位基可被EUV輻射裂解出以形成非配位點。當芳香性雙牙配位基是芳香性2,2’-聯吡嗪時,相對側中的兩個氮原子可配位至非配位位點。芳香性配位基的分子間配位的結構匹配與π-π相互作用可造成層的有序堆積。有序堆積可形成結晶的聚合物結構,從而減少缺陷(減少浮渣)、提供良好的LER與高對比度。
本揭示的芳香性雙牙配位基滿足幾個標準。第一, 顧名思義,芳香性雙牙配位基包括至少一個芳香性環以提供共軛體系並允許π-π堆疊。第二,芳香性雙牙配位基包括等於2的齒合度(denticity)。換言之,芳香性雙牙配位基包括兩個原子,這兩個原子可以結合至配位錯合物中的中心金屬離子。芳香性雙牙配位基為雙牙(di-dentate)並非是不重要的。過渡金屬錯合物的特徵在於配位數為1至12,但最常見的配位數為2、4與6。對於具有高原子吸收截面(atomic absorption cross section)的金屬,例如本揭示中所述的金屬,其配位數是大於4且可為6。若金屬必須配位至兩個有機金屬前驅物分子並且仍保持不飽和位點以用於附著力改進,則每個有機金屬前驅物只能是單牙型(monodentate)或雙牙型(bidentate)。由於單牙型較不利於有序結構,因此選擇雙牙型。第三,芳香性雙牙配位基包括對稱性結構以用於有序交聯。第四,除了提供齒合度的原子,芳香性雙牙配位基包括EUV可活化的配位基以用於交聯。第五,芳香性雙牙配位基可選擇性地包括平面結構以促進π-π堆疊,π-π堆疊有利於有序的層間相互作用。示例可包括2,2’-聯吡嗪(C8H6N4)、2,2’-聯嘧啶(C8H6N4)、3,3’--聯吡啶(C8H6N4),其中每一者包括兩個連接的雜環芳香環。
第1圖示意性地示出根據本揭示的多個態樣之有機金屬前驅物100的分子結構。有機金屬前驅物分子100包括芳香性雙牙配位基102,配位至芳香性雙牙配位基102之芳香性的金屬離子104(M),與配位至金屬離子 104之複數個極紫外光可裂解配位基106(R)。在所繪示的實施方式中,芳香性雙牙配位基102為芳香性2,2’-聯吡嗪。應理解的是,類似的敘述可以應用於其他實施方式的芳香性雙牙配位基102。有機金屬前驅物分子100亦可以表示為MaXbRc,其中M表示金屬離子104,R表示極紫外光可裂解配位基106,X表示芳香性雙牙配位基102,「a」為1,「b」為1,且「c」為2。金屬離子104可包括具有高原子吸收截面的金屬。金屬離子104的例子可包括錫(Sn)、鉍(Bi)、銻(Sb)、銦(In)、或碲(Te)。由於金屬離子104可具有六個(6)配位位點,因此極紫外光可裂解配位基(R)106與芳香性雙牙配位基102的總數量並未佔據所有配位位點,留下兩個不飽和位點(即,無配位位點)以改進附著力。有機金屬前驅物分子100是電中性的,並不同於某些現有的有機金屬前驅物是離子形式且由反離子(counter ion)所穩定。在一些實施方式中,可以原位製備本揭示的有機金屬前驅物分子100,接著使用旋轉塗佈而沉積在半導體裝置工件上。在一些其他實施方式中,可以使用化學氣相沉積(CVD)或原子層沉積(ALD)將有機金屬前驅物分子100沉積在半導體裝置工件上。
在所繪示的實施方式中,芳香性雙牙配位基102可以是2,2’-聯吡嗪,其中2,2’-聯吡嗪包括兩個吡嗪環,這兩個吡嗪環在第一吡嗪環的位置2與第二吡嗪環的位置2’連接在一起。這兩個吡嗪環可稱為第一吡嗪環與第二吡 嗪環。第一吡嗪環包括位於位置1與位置4的氮原子。第二吡嗪環包括位於位置1’與位置4’的氮原子。2,2’-聯吡嗪透過第一吡嗪環位於位置1的氮原子與第二吡嗪環位於位置1’的氮原子而配位至金屬離子104。金屬離子104進一步配位至兩個極紫外光可裂解配位基106。第一吡嗪環位於位置4的氮原子與第二吡嗪環位於位置4’的氮原子可以被EUV輻射所活化。如以下將敘述,一旦被活化,它們可以配位至金屬離子104,其中金屬離子104來自已被裂解的一或多個極紫外光可裂解配位基106。極紫外光可裂解配位基106可包括烯基或羧酸鹽基(carboxylate group)。烯基或羧酸鹽基可包括氫、氟或烷基。一旦存在極紫外光可裂解配位基106中的烷基,其可以是直鏈的、支鏈的或環狀的,並且可以包括1至6個碳原子。烯基與羧酸鹽基兩者包括一個雙鍵,此雙鍵可能由於EUV輻射的入射而斷裂,使得這些極紫外光可裂解配位基具有EUV可裂解性質。由於吡嗪環的各者中的π共軛系統,這些吡嗪環是平面的。實際上,2,2’-聯吡嗪可具有反式平面構型(trans planar configuration)。因此,有機金屬前驅物分子100可對稱於第一吡嗪環的位置2與第二吡嗪環的位置2’之間的鍵結。
第2圖與第3圖示意性地示出根據本揭示的多個態樣之由於EUV輻射的入射對有機金屬前驅物分子100的配位的變化。出於說明的目的,第2圖與第3圖中示出了三個有機金屬前驅物分子100。參照第2圖,EUV的入 射可能會產生自由基,這些自由基可能會脫離極紫外光可裂解配位基106,在金屬離子104上產生空的配位位點並可活化位於位置4或位置4’的氮原子。如第2圖所示,活化後的氮原子可用於配位至其他有機金屬前驅物分子100的金屬離子104上的空配位位點(為便於說明,用圓圈表示)。請參照第3圖。在EUV曝光後伴隨的後曝光烘烤製程期間,位於位置4或位置4’的活化氮原子可配位至另一有機金屬前驅物分子100的金屬離子104上的可用配位位點。
由於有機金屬前驅物分子100的反式平面構型,有機金屬前驅物分子100之間的交聯可以發生在一個分子平面上的一個層中。第4圖示意性地示出有機金屬前驅物分子100在這個層中的有序交聯。對於每個有機金屬前驅物分子100而言,EUV曝光可以從金屬離子104裂解出極紫外光可裂解配位基106並活化位於位置4與位置4’的氮原子。在後曝光烘烤製程期間,活化後的位置4(或位置4’)氮原子變成配位至金屬離子104上的可用位點。如第4圖所示,有機金屬前驅物分子100的金屬離子104可配位至其他兩個有機金屬前驅物分子100的兩個位置4(或位置4’)氮原子,從而將它們交聯在一起。同時,同一個有機金屬前驅物分子100的位於位置4與位置4’的氮原子配位至其他兩個有機金屬前驅物分子的兩個金屬離子104。由於每個金屬離子104配位至四個位於位置4(或位置4’)的氮原子,在每一層中,交聯的有機金屬前驅物 分子100可具有良好的短程(short-range)規則性與長程(long-range)規則性。在不同的層(或分子平面)中,芳香性吡嗪環之間的π堆疊(或pi堆疊或π-π堆疊)控制了非共價鍵的層間相互作用。π堆疊有助於在不同層(或分子平面)之間建立有序的堆疊。由於有機金屬前驅物分子100的對稱結構以及芳香性吡嗪環之間的π堆疊,本揭示的有機金屬前驅物分子100可產生結晶聚合物結構,其可提供均勻的交聯、減少缺陷、減少浮渣(scum)、改進LER並促進較高的顯影對比度。
一般而言,正光阻(或正型光阻)是一種光阻,其中暴露至光的光阻部分可溶解於光阻顯影劑。光阻的無曝光部分維持不溶解於光阻顯影劑。負光阻(或負型光阻)是一種光阻,其中暴露至光的光阻部分變得不溶於光阻顯影劑。光阻的無曝光部分被光阻顯影劑溶解。由於EUV輻射形成交聯而降低有機金屬前驅物分子100在顯影劑中的溶解度,本揭示的有機金屬前驅物分子100可以是用於EUV微影的負光阻中的活性成分。第5圖示出了使用包括在此所述的有機金屬前驅物分子100的負光阻在工件上對材料層進行圖案化的方法200的流程圖。方法200只是一個示例,並不旨在將本揭示限制為方法200中明確說明的內容。對於方法的另外的實施方式,可以在方法200之前、期間與之後提供其他步驟,並且可以替換、消除或移動所敘述的某些步驟。為了簡化起見,在此沒有詳細敘述所有步驟。以下結合第6圖至第11圖對方法200進行說明, 第6圖至第11圖是根據方法200的實施方式在製造的不同階段的工件300的局部橫截面圖。另外地,在本申請全文中,除非另有說明,否則相似的附圖標記表示相似的特徵。
參照第5圖與第6圖,方法200包括方塊202,其中提供工件300。工件300包括基板301與材料層302,材料層302設置在基板301上方。值得注意的是在第6圖中以虛線表示基板301,且為了簡化起見在第7圖-第11圖中省略基板301。基板301可包括元素(單一元素)半導體,例如矽(Si)及/或鍺(Ge);化合物半導體,例如碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、及/或銻化銦(InSb);合金半導體,例如矽化鍺(SiGe)、磷化砷鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、及/或磷化銦鎵砷(GaInAsP);非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融二氧化矽(fused silica)、熔融石英、及/或氟化鈣(CaF2)及/或其組合。在一些其他實施方式中,基板301可以是具有均勻組成的單層材料。替代地,基板301可包括適用於IC裝置製造之具有相似或不同組成的多種材料層。在一實施例中,基板301可為絕緣體上矽(SOI)基板,其具有形成於矽氧化物層上的半導體矽層。基板301可包括形成於其上的多個電路特徵部,例如包括場效電晶體(FET)、金屬氧化物半導體場效電晶體 (MOSFET)、CMOS電晶體、高壓電晶體、高頻電晶體、雙極性接面(bipolar junction)電晶體、二極體、電阻器、電容器、電感器、變容二極體、其他合適的裝置及/或其組合。
基板301上方的材料層302代表將在其上沉積光阻層304(將在下面敘述)的最頂層。在一些實施方式中,材料層302可以是用作硬遮罩層、底部抗反射塗層(bottom antireflective coating,BARC)或絕緣層的介電層。在這些實施方式中,材料層302可包括氧化矽、氮化矽、氧氮化矽、碳氮化矽、氧碳氮化矽、金屬氧化物、碳化矽、或氧碳化矽。金屬氧化物的示例可包括高k介電材料,例如氧化鈦(TiO2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta2O5)、氧化鉿矽(HfSiO4)、氧化鋯(ZrO2)、氧化鋯矽(ZrSiO2)、氧化鑭(La2O3)、氧化鋁(Al2O3)、氧化鋯(ZrO)、氧化釔(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、或(Ba,Sr)TiO3(BST)。在一些其他實施方式中,材料層302可包括半導體材料,例如矽(Si)、鍺(Ge)、砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)或矽化鍺(SiGe)。在其他實施方式中,材料層302可包括聚合物層,例如聚酰亞胺層或聚合性的BARC層。在又其他實施方式中,材料層302可包括導電材料,例如氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、 氮化鉭(TaN)、鉭鋁(TaAl)、氮化鋁鉭(TaAlN)、碳化鋁鉭(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)或銅(Cu)。當材料層302包含表面官能基例如羥基或胺基時,由於光阻層304包括有機金屬前驅物分子100,而有機金屬前驅物分子100包括沒有配位的位點以提供附著力,因此材料層302可以與隨後沉積的光阻層304形成良好的附著力。在材料層302可催化光阻層304的初步(pre-mature)交聯(將在下面敘述)的一些實施方式中,在方塊202處,可以在沉積光阻層之前在材料層302上沉積非常薄的矽氧化物層或非常薄的聚合物層。
參照第5圖與第6圖,方法200包括方塊204,其中光阻層304沉積在材料層302上。光阻層304包括如上所述的有機金屬前驅物分子100,並可包括其他添加物或介面活性劑。光阻層304可以是負型光阻層。在一些實施方式中,可以使用旋轉塗佈、CVD、或原子層沉積(ALD)來沉積光阻層304。當使用旋轉塗佈來沉積光阻層304時,有機金屬前驅物分子100可與添加物及介面活性劑一起溶解或分散於分散液中或溶液中,並接著塗佈在材料層302上。在一些情形中,用於光阻層304的塗佈的溶液亦可包括其他可交聯聚合物,例如聚(2-羥乙基甲基丙烯酸酯)(poly(2-hydroxyethyl methacrylate),pHEMA)、聚(4-羥基苯乙 烯)(poly(4-hydroxystyrene),PHS)、聚縮水甘油醚(polyglycidyl ether)、或聚醚多元醇(polyether polyol)。這些其他可交聯聚合物的納入可強化EUV曝光後的交聯反應。當使用ALD或CVD沉積光阻層304時,有機金屬前驅物分子100的氣體前驅物可被導向材料層302,在此處氣體前驅物彼此反應並與材料層302反應以形成光阻層304。在一些情形中,氣體前驅物可包括第一氣體前驅物與第二氣體前驅物。第一氣體前驅物可包括鹵素取代的極紫外光可裂解配位基,例如鹵代烷。第二氣體前驅物可包括與芳香性雙牙配位基102及鹵化物配位的金屬離子104。鹵素組分使得氣體前驅物呈氣態。在CVD或ALD製程期間,可以加熱材料層302,且當第一氣體前驅物與第二氣體前驅物接觸受到加熱的材料層302時,鹵素組分被移除,從而形成有機金屬前驅物分子100於光阻層304中。
參照第5圖與第7圖,方法200包括方塊206,其中執行預曝光處理製程400。預曝光處理製程400亦可以稱為後施加處理(post-application treatment)製程400。預曝光處理製程400促進了光阻層304中的不希望的物質的排出(outgassing)或移除多餘的水分。不希望的物質可包括在使用氣態前驅物沉積光阻層304時,在CVD或ALD製程期間的副產物或離去基團。示例可包括含鹵素的物種。根據所欲移除物質的性質,預曝光處理製程400可包括烘烤製程、紅外線固化製程、紫外線(UV)固化製 程、或可見光固化製程。在不希望的物質將被中和的一些替代實施方式中,預曝光處理製程可包括以反應物氣體例如矽烷(SiH4)修飾光阻層304的表面。當預曝光處理製程400包括烘烤製程時,烘烤溫度可以在約60℃與約170℃之間。
參照第5圖與第8圖,方法200包括方塊208,其中光阻層304暴露至輻射的一圖案。可以使用微影系統500執行在方塊208處的曝光,如第8圖中示意地所示。微影系統500亦可以被統稱為曝光機(scanner),可操作曝光機以執行微影製程,包括以個別的輻射源在特定的曝光模式中進行曝光。在至少一些實施方式中,微影系統500包括極紫外光(EUV)微影系統,設計用於透過EUV輻射對光阻層例如光阻層304進行曝光。第8圖的微影系統500包括複數個子系統,例如EUV光源502、照明器504、遮罩台506、遮罩508、投影光學元件510與基板台514,其中遮罩台506配置為接收遮罩508,而基板台514配置為接收工件,工件例如為工件300。可給出微影系統500的大致操作如下:來自EUV源502的EUV輻射被引導向照明器504(其包括一組反射鏡)並且被投影到反射性的遮罩508上。反射的遮罩圖像被引導向投影光學元件510,投影光學元件510聚焦EUV光並將EUV光投射到工件300上以曝光沉積在工件300上的極紫外光阻層。另外地,在多個示例中,微影系統500的每個子系統都可以容納在高真空環境中並因此在高真空環境內運作,以減少 對EUV光的大氣吸收(atmospheric absorption)。
在此所敘述的實施方式中,EUV源502可用於產生EUV輻射。在一些實施方式中,EUV源502可包括電漿源,例如放電產生的電漿(discharge produced plasma,DPP)或雷射產生的電漿(laser produced plasma,LPP)。在一些實施例中,EUV輻射可包括輻射,其具有集中在大約13.5nm處的波長。在一些實施方式中,EUV源502亦包括收集器(collector),其可以用於收集從電漿源產生的EUV輻射,並將EUV輻射引向成像光學元件,成像光學元件例如為照明器504。如上所述,來自EUV源502的EUV輻射被引導向照明器504。在一些實施方式中,照明器504可包括反射性的光學元件,例如單一鏡或具有多個鏡的鏡系統,以將來自EUV源502的輻射引導到遮罩台506上,尤其是引導到固定在遮罩台506上的遮罩508。在一些實施例中,照明器504可包括一個波帶片(zone plate),舉例而言,以改進EUV輻射的聚焦。在一些實施方式中,照明器504可配置成使穿過其中的EUV輻射穿過特定的瞳形狀而成形,瞳形狀包括例如偶極形(dipole shape)、四極形(quadrupole shape)、環形(annular shape)、單束形(single beam shape)、多束形(multiple beam shape)及/或其一組合。在一些實施方式中,照明器504是可操作以配置鏡子(即照明器504的鏡子)以對遮罩508提供所期望的照明。在一實施例中,照明器504的鏡子是可配置的以反射 EUV輻射至不同的照明位置。在一些實施方式中,位於照明器504前的一個台可另外地包括其他可配置的鏡子,其可用於在照明器504的鏡子內以引導EUV輻射至不同的照明位置。在一些實施方式中,照明器504配置成對遮罩508提供軸上照明(on-axis illumination,ONI)。在一些實施方式中,照明器504配置成對遮罩508提供離軸照明(off-axis illumination,OAI)。值得注意的是,EUV微影系統500中使用的光學元件,特別是用於照明器504與投影光學元件510的光學元件,可包括具有稱為布拉格反射器(Bragg reflector)的多層薄膜塗層的鏡。舉例而言,這個多層薄膜塗層可包括Mo與Si的交替層,其提供對於在EUV波長(例如約13nm)處的高反射率。
如上所述,微影系統500亦包括遮罩台506,遮罩台506配置成固定遮罩508。由於微影系統500可以容納在高真空環境中並因此在其內操作,因此遮罩台506可包括用以固定遮罩508的靜電吸盤(e-chuck)。與EUV微影系統500的光學元件一樣,遮罩508亦為反射性。如第8圖的例子所示,輻射從遮罩508反射並引導向投影光學元件510,投影光學元件510收集從遮罩508反射的EUV輻射。舉例而言,透過投影光學元件510收集的EUV輻射(從遮罩508反射)攜帶由遮罩508所定義的圖案的圖像。在多個實施方式中,投影光學元件510提供用於將遮蔽罩508的圖案成像到固定在微影系統500的基板台 514上的工件300上。特別地,在多個實施方式中,投影光學元件510聚焦所收集到的EUV光,並將EUV光投射到工件300上以曝光工件300上的光阻層304。如上所述,投影光學元件510可包括用於EUV微影系統(例如微影系統500)中的反射性光學元件。在一些實施方式中,照明器504與投影光學元件510統稱為微影系統500的光學模組。
在一些實施方式中,微影系統500亦包括瞳相位調變器(pupil phase modulator)512以調變從遮罩508射出的EUV輻射的光學相位,從而使光具有沿著投影瞳平面(projection pupil plane)的相位分佈。在一些實施方式中,瞳相位調變器512包括用於調整投影光學元件510的反射鏡以進行相位調變的機構。舉例而言,在一些實施方式中,投影光學元件510的鏡子是可配置的,以反射穿過瞳相位調變器512的EUV光,從而調變穿過投影光學元件510的光的相位。在一些實施方式中,瞳相位調變器512利用放置在投影瞳平面上的瞳濾波器(pupil filter)。舉例而言,瞳濾波器可用於濾除從遮罩508反射的EUV輻射的特定空間頻率分量。在一些實施方式中,瞳濾波器可以用作相位瞳濾波器(phase pupil filter),其用於調製穿過投影光學元件510的光的相位分佈。
如第8圖所示,透過使用微影系統500,光阻層304的曝光部分310曝光於EUV輻射,而無曝光部分308 保持不曝光。光阻層304的曝光部分310中的有機金屬前驅物分子100變成交聯的。更特別地,參考第1圖與第2圖,來自微影系統500的EUV輻射產生自由基。自由基從金屬離子104裂解出極紫外光可裂解配位基106。位於位置4或位置4’的氮原子成為活化並配位至具有無配位位點的另一個金屬離子。芳香性雙牙配位基102作為橋聯配位基以形成交聯。由於自由基的缺乏,在無曝光部分308中不會發生相同的交聯。如上參照第4圖所述,由於有機金屬前驅物分子100的反式平面構型,有機金屬前驅物分子100之間的交聯可以發生在分子平面上的一個層中。對於光阻層304中的每個有機金屬前驅物分子100,EUV曝光可以從金屬離子104裂解出極紫外光可裂解配位基106,並活化芳香性雙牙配位基102的位於位置4與位置4’的氮原子。
參照第5圖與第9圖,方法200包括方塊210,其中執行後曝光烘烤製程600。在一些實施方式中,選擇後曝光烘烤製程600的烘烤溫度或烘烤溫度曲線,以促進交聯並確保在方塊208處的EUV曝光製程期間產生的離去基團的移除。後曝光烘烤製程600的烘烤溫度可以在約150℃至約300℃之間。在後曝光烘烤製程600期間,曝光部分310中的有機金屬前驅物分子100的位置4(或位置4’)活化氮原子變成配位至兩個相鄰的有機金屬前驅物分子100的金屬離子104上的可用位點。相同有機金屬前驅物分子100的金屬離子104可配位至兩個其他有機金屬 前驅物分子100的兩個位置4(或位置4’)氮原子,從而使它們交聯在一起。在每個金屬離子104配位至四個位置4(或位置4’)氮原子的情形下,交聯的有機金屬前驅物分子100在每一層中可具有良好的短程規則性與長程規則性。在不同的層(或分子平面)之間,芳香性吡嗪環之間的π堆疊(或pi堆疊或π-π堆疊)控制非共價的層間相互作用。π堆疊有助於在不同層(或分子平面)之間建立有序的堆疊。由於有機金屬前驅物分子100的對稱結構以及芳香性吡嗪環之間的π堆疊,本揭示的有機金屬前驅物分子100可產生結晶聚合物結構,其可提供均勻的交聯、減少缺陷、減少浮渣(scum)、改進LER並促進較高的顯影對比度。在方塊210處移除的離去基團可包括極紫外光可裂解配位基106、含鹵素物種、或其組合。
參照第5圖與第10圖,方法200包括方塊212,其中曝光後的光阻層304被顯影以形成圖案化的光阻層312。在方塊212處,顯影劑溶液用於移除無曝光部分308,無曝光部分308在方塊208時沒有交聯。選擇顯影劑溶液,使得其適合於選擇性地溶解與移除無曝光部分308(無交聯),而光阻層304的曝光部分310(交聯)基本上保持完整。合適的顯影劑溶液可包括溶劑,例如乙酸正丁酯、乙醇、己烷、苯、甲苯、水、異丙醇(isopropyl alcohol,IPA)或2-庚酮。在一些實施方式中,方塊212亦可包括一或多個多個除渣(descum)或沖洗(rinsing)製程以移除光阻層304的任何殘留物或碎片。在方塊212的操作結 束時,形成了圖案化的光阻層312。透過無曝光部分308的移除,圖案化的光阻層312包括開口314,而開口314中暴露出材料層302。
參照第5圖與第11圖,方法200包括方塊214,其中使用圖案化的光阻層312作為蝕刻遮罩來蝕刻材料層302。在一些實施方式中,以乾蝕刻製程700來蝕刻材料層302,乾蝕刻製程700例如為反應性離子蝕刻(reactive ion etch,RIE)製程,並使用圖案化的光阻層312作為蝕刻遮罩。在一些實施例中,可以使用包括蝕刻劑氣體來實施乾蝕刻製程700,蝕刻劑氣體包括含氟蝕刻劑(例如NF3、CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氧氣體(例如O2或O3)、含氯氣體(例如Cl2、CHCl3、CCl4、SiCl4及/或BCl3)、含氮氣體(例如N2或NH2)、含溴氣體(例如HBr及/或CHBr3)、含碘氣體、氬氣(Ar)、其他合適的氣體及/或電漿、或其組合。在第11圖所示的一些實施方式中,乾蝕刻製程700在材料層302中形成凹槽316。雖然示出的凹槽316未延伸穿過材料層302,但在替代實施方式中凹槽316可以延伸穿過材料層302。方塊214處的操作可用於形成鰭型場效電晶體(FinFET)的鰭、用於多橋通道(multi-bridge-channel,MBC)電晶體之形成的鰭型結構、在FinFET或MBC電晶體的製造期間形成的虛擬閘極疊層、源極/汲極觸點開口、閘極觸點開口、導孔開口或金屬線溝槽。在形成凹槽316之後,可以透過灰化或 選擇性蝕刻來移除圖案化的光阻層312。
儘管不旨在限制,但是本揭示的一或多個實施方式為半導體裝置及其形成製程提供了益處。舉例而言,本揭示提供負型光阻中的有機金屬前驅物。有機金屬前驅物包括芳香性雙牙配位基,芳香性雙牙配位基具有用於分子間配位的結構匹配。有機金屬前驅物的一個例子包括2,2’-聯吡嗪分子,2,2’-聯吡嗪分子配位至具有高EUV吸收的過渡金屬。過渡金屬亦配位至極紫外光可裂解配位基,極紫外光可裂解配位基可透過EUV輻射而裂解出以形成無配位位點。當芳香性雙牙配位基是芳香性2,2’-聯吡嗪時,位於相反側的兩個氮原子可配位至無配位位點。分子間配位的結構匹配與芳香性配位基的π-π相互作用可造成層的有序堆積。有序堆積可產生結晶聚合物結構,從而減少缺陷(減少浮渣),並提供良好的LER與高對比度。
在一示例性的態樣中,本揭示提供一種有機金屬前驅物。有機金屬前驅物包括芳香性雙牙配位基,配位至芳香性雙牙配位基的過渡金屬,以及配位至過渡金屬的極紫外光(EUV)可裂解配位基。芳香性雙牙配位基包括複數個吡嗪分子。
在一些實施方式中,芳香性雙牙配位基包括2,2’-聯吡嗪。在一些實施方式中,過渡金屬具有高原子吸收截面。在一些情形中,過渡金屬係選自由錫(Sn)、鉍(Bi)、銻(Sb)、銦(In)、與碲(Te)所組成的群組。在一些實施方式中,有機金屬前驅物可進一步包括聚(2-羥乙基甲 基丙烯酸酯)(poly(2-hydroxyethyl methacrylate),pHEMA),聚(4-羥基苯乙烯)(poly(4-hydroxystyrene),PHS),聚縮水甘油醚(polyglycidyl ether),或聚醚多元醇(polyether polyol)。在一些實施方式中,極紫外光可裂解配位基包括烯基或羧酸鹽基。在一些情形中,極紫外光可裂解配位基包括氟取代基。
在另一個示例性態樣中,本揭示涉及一種極紫外光(extreme ultraviolet,EUV)光阻前驅物。極紫外光阻前驅物包括芳香性雙牙配位基,其中芳香性雙牙配位基包括第一吡嗪環與第二吡嗪環,配位至第一吡嗪環上的氮原子與第二吡嗪環上的氮原子上的過渡金屬,配位至過渡金屬的第一極紫外光可裂解配位基,以及配位至過渡金屬的第二極紫外光可裂解配位基。
在一些實施方式中,芳香性雙牙配位基包括聯吡嗪,而第一吡嗪環連接至第二吡嗪環。在一些實施方式中,芳香性雙牙配位基包括2,2’-聯吡嗪。在一些情形中,過渡金屬具有高原子吸收截面。在一些實施方式中,過渡金屬係選自由錫(Sn)離子、鉍(Bi)離子、銻(Sb)離子、銦(In)離子、與碲(Te)離子所組成的群組。在一些實施方式中,極紫外光阻前驅物可進一步包括聚(2-羥乙基甲基丙烯酸酯)、聚(4-羥基苯乙烯)、聚縮水甘油醚、或聚醚多元醇。在一些實施方式中,極紫外光可裂解配位基包括烯基或羧酸鹽基。在一些情形中,極紫外光可裂解配位基 包括氟取代基。
在又另一示例性的態樣中,本揭示涉及一種方法。方法包括直接沉積光阻層於材料層上,其中光阻層包括前驅物,前驅物包括芳香性雙牙配位基、過渡金屬、第一極紫外光可裂解配位基與第二極紫外光可裂解配位基。芳香性雙牙配位基包含一第一吡嗪環與一第二吡嗪環,其中第一吡嗪環包含一第一氮原子與一第二氮原子,第二吡嗪環包含一第一氮原子與一第二氮原子。過渡金屬配位至第一吡嗪環上的第一氮原子與第二吡嗪環上的第一氮原子。第一極紫外光可裂解配位基與第二極紫外光可裂解配位基配位至過渡金屬。方法可進一步包括曝光阻層的一部分至EUV輻射,以從過渡金屬裂解出第一極紫外光可裂解配位基與第二極紫外光可裂解配位基,並活化第一吡嗪環上的第二氮原子與第二吡嗪環上的第二氮原子。
在一些實施方式中,芳香性雙牙配位基包括2,2’-聯吡嗪。在一些實施方式中,光阻層的沉積包括供應一第一氣態前驅物至材料層。第一氣態前驅物包括芳香性雙牙配位基、過渡金屬、一第一鹵素基與一第二鹵素基。過渡金屬配位至第一吡嗪環上的第一氮原子與第二吡嗪環上的第一氮原子。第一鹵素基與一第二鹵素基配位至過渡金屬。方法更包括供應第二氣態前驅物至材料層。第二氣態前驅物包括第一極紫外光可裂解配位基與第二極紫外光可裂解配位基。
在一些實施方式中,光阻層的沉積包括使用旋轉塗 佈沉積光阻層。在一些情形中,方法可進一步包括在曝光之後烘烤光阻層以交聯光阻層的部分。
上文概述若干實施方式的特徵,使得熟習此技藝者可更好地理解本揭示的態樣。熟習此技藝者應瞭解,他們可輕易地使用本揭示作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施方式的相同目的及/或實現相同優勢。熟習此技藝者亦應認識到,此類等效結構並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下,產生本文的各種變化、替代及更改。
Figure 110116443-A0305-02-0002-1
100:有機金屬前驅物
102:芳香性雙牙配位基
104:金屬離子
106:極紫外光可裂解配位基

Claims (10)

  1. 一種有機金屬前驅物,包含:一芳香性雙牙配位基;一過渡金屬,配位至該芳香性雙牙配位基,其中該過渡金屬係選自由錫、鉍、銻、銦、與碲所組成的群組;以及一極紫外光可裂解配位基,配位至該過渡金屬,其中該芳香性雙牙配位基包含複數個吡嗪分子。
  2. 如請求項1所述的有機金屬前驅物,更包含:聚(2-羥乙基甲基丙烯酸酯)、聚(4-羥基苯乙烯)、聚縮水甘油醚、或聚醚多元醇。
  3. 如請求項1所述的有機金屬前驅物,其中該極紫外光可裂解配位基包含一烯基或一羧酸鹽基。
  4. 如請求項1所述的有機金屬前驅物,其中該極紫外光可裂解配位基包含一氟取代基。
  5. 一種極紫外光阻前驅物,包含:一芳香性雙牙配位基,包含一第一吡嗪環與一第二吡嗪環;一過渡金屬,配位至該第一吡嗪環上的一氮原子與該第二吡嗪環上的一氮原子,其中該過渡金屬係選自由錫、鉍、銻、銦、與碲所組成的群組; 一第一極紫外光可裂解配位基,配位至該過渡金屬;以及一第二極紫外光可裂解配位基,配位至該過渡金屬。
  6. 如請求項5所述的極紫外光阻前驅物,其中該芳香性雙牙配位基包含聯吡嗪,其中該第一吡嗪環連接至該第二吡嗪環。
  7. 如請求項5所述的極紫外光阻前驅物,其中該極紫外光可裂解配位基包含一烯基或一羧酸鹽基。
  8. 如請求項7所述的極紫外光阻前驅物,其中該極紫外光可裂解配位基包含一氟取代基。
  9. 一種處理光阻層的方法,包含:直接沉積一光阻層於一材料層上,其中該光阻層包括一前驅物,該前驅物包含:一芳香性雙牙配位基,包含一第一吡嗪環與一第二吡嗪環,該第一吡嗪環包含一第一氮原子與一第二氮原子,該第二吡嗪環包含一第一氮原子與一第二氮原子,一過渡金屬,配位至該第一吡嗪環上的該第一氮原子與該第二吡嗪環上的該第一氮原子,其中該過渡金屬係選自由錫、鉍、銻、銦、與碲所組成的群組,及一第一極紫外光可裂解配位基與一第二極紫外光可裂 解配位基,配位至該過渡金屬;以及曝光該光阻層的一部分至極紫外光輻射,以:從該過渡金屬裂解出該第一極紫外光可裂解配位基與該第二極紫外光可裂解配位基,及活化該第一吡嗪環上的該第二氮原子與該第二吡嗪環上的該第二氮原子。
  10. 如請求項9所述的方法,其中該光阻層的該沉積包含:供應一第一氣態前驅物至該材料層,該第一氣態前驅物包含:該芳香性雙牙配位基,該過渡金屬,配位至該第一吡嗪環上的該第一氮原子與該第二吡嗪環上的該第一氮原子,及一第一鹵素基與一第二鹵素基,配位至該過渡金屬;以及供應一第二氣態前驅物至該材料層,該第二氣態前驅物包含:該第一極紫外光可裂解配位基與該第二極紫外光可裂解配位基。
TW110116443A 2020-09-30 2021-05-06 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 TWI775425B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085305P 2020-09-30 2020-09-30
US63/085,305 2020-09-30
US17/177,008 US11726405B2 (en) 2020-09-30 2021-02-16 Photoresist for semiconductor fabrication
US17/177,008 2021-02-16

Publications (2)

Publication Number Publication Date
TW202214664A TW202214664A (zh) 2022-04-16
TWI775425B true TWI775425B (zh) 2022-08-21

Family

ID=79327324

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110116443A TWI775425B (zh) 2020-09-30 2021-05-06 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法

Country Status (5)

Country Link
US (2) US11726405B2 (zh)
KR (1) KR102603017B1 (zh)
CN (1) CN113943314A (zh)
DE (1) DE102021104509A1 (zh)
TW (1) TWI775425B (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4511715A (en) * 1981-03-20 1985-04-16 Minnesota Mining And Manufacturing Company Platinum-nitrogen complex catalysts
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9207532B2 (en) * 2012-10-05 2015-12-08 California Institute Of Technology Photoinitiated olefin methathesis polymerization
JP6119544B2 (ja) * 2013-10-04 2017-04-26 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US10799613B2 (en) * 2013-10-30 2020-10-13 California Institute Of Technology Direct photopatterning of robust and diverse materials
CN107548473A (zh) * 2015-04-22 2018-01-05 亚历克斯·菲利普·格雷厄姆·罗宾逊 灵敏度增强的光致抗蚀剂
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
EP3507007A4 (en) * 2016-09-02 2020-04-29 California Institute of Technology PHOTOACTIVE CATALYST COMPOSITIONS
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US11215924B2 (en) 2018-08-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern
US11971659B2 (en) 2018-10-08 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 Robert Kirgan, Megan Simpson, Curtis Moore, Jeff Day, Loan Bui, Clayton Tanner, and D. Paul RillemaSynthesis, Characterization, Photophysical, and Computational Studies of Rhenium(I) Tricarbonyl Complexes Containing the Derivatives of Bipyrazine Inorg. Chem. vol.46 ACS Publications Published on Web 07/14/2007 6464–6472 *

Also Published As

Publication number Publication date
TW202214664A (zh) 2022-04-16
US20230367208A1 (en) 2023-11-16
US11726405B2 (en) 2023-08-15
US20220100086A1 (en) 2022-03-31
KR102603017B1 (ko) 2023-11-15
CN113943314A (zh) 2022-01-18
DE102021104509A1 (de) 2022-03-31
KR20220044155A (ko) 2022-04-06

Similar Documents

Publication Publication Date Title
KR102207228B1 (ko) 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
KR102405489B1 (ko) 반도체 디바이스 제조 방법
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
TWI775425B (zh) 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法
CN105990104B (zh) 制造一半导体装置的方法
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
TWI806049B (zh) 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法
CN113109995A (zh) 制造半导体器件的方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI804806B (zh) 製造半導體元件的方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI843085B (zh) 光阻劑、半導體裝置之製造方法及極紫外線微影術方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TW201826043A (zh) 用於利用傾斜圖案化製造ic晶片的方法和裝置
US20240047208A1 (en) Photoresist having strengthening material
CN117321504A (zh) 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂
CN114721218A (zh) 制造半导体装置的方法
CN113113292A (zh) 制造半导体器件的方法
CN117518719A (zh) 光阻组成物、形成光阻组成物的方法及极紫外线微影方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent