TWI806049B - 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 - Google Patents

有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 Download PDF

Info

Publication number
TWI806049B
TWI806049B TW110117176A TW110117176A TWI806049B TW I806049 B TWI806049 B TW I806049B TW 110117176 A TW110117176 A TW 110117176A TW 110117176 A TW110117176 A TW 110117176A TW I806049 B TWI806049 B TW I806049B
Authority
TW
Taiwan
Prior art keywords
nitrogen
ligand
euv
precursor
multidentate
Prior art date
Application number
TW110117176A
Other languages
English (en)
Other versions
TW202214661A (zh
Inventor
劉之誠
郭怡辰
陳彥儒
李志鴻
楊棋銘
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202214661A publication Critical patent/TW202214661A/zh
Application granted granted Critical
Publication of TWI806049B publication Critical patent/TWI806049B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/94Bismuth compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供一種用於極紫外光(EUV)微影的有機金屬前驅物。有機金屬前驅物包括化學式MaXbLc,其中M為金屬,X為多牙芳香性配位基,多牙芳香性配位基包括吡咯態氮與吡啶態氮,L為極紫外光可裂解配位基,a為1與2之間,b等於或大於1,且c等於或大於1。

Description

有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方 法
本揭示內容是關於一種有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法。
半導體積體電路(integrated circuit,IC)產業經歷了指數式增長。IC材料與設計的技術進步產生了數個世代的IC,其中每一代都具有比前一代更小且更複雜的電路。在IC演進的過程中,通常增加功能密度(即每晶片面積的互連裝置數量)而減小幾何尺寸(即可以使用製造製程創造的最小組件(或線))。一般上透過提高生產效率和降低相關成本以提供縮放製程的益處。此縮放也增加了處理和製造IC的複雜性,為了實現這些進步,需要對IC處理和製造進行類似的發展。
在一示例性的態樣中,微影是用以在半導體微尺度加工中選擇性地移除材料層的一部分的製程。使用輻射源從光罩轉移圖案(例如幾何圖案)至材料層上的光敏層(例 如光阻層)。輻射會在光敏層的曝光區域引起化學變化(例如增加或減少溶解度)。在曝光之前及/或之後可執行烘烤製程,例如預曝光及/或後曝光烘烤製程。顯影製程接著以顯影劑溶液選擇性地移除曝光區域或未曝光區域,從而在材料層中形成曝光圖案。為了改進微影製程的分辨率(resolution)以容納具有高功能密度的IC裝置,出現了波長較短的輻射源。其中之一是極紫外光(extreme ultraviolet,EUV)輻射源。儘管現有的極紫外光阻通常足以滿足其預期用途,它們仍不能完全令人滿意。需要其他改進。
根據本揭示之一實施方式,提供一種有機金屬前驅物,包含一化學式MaXbLc,其中M為一金屬,其中X為一多牙芳香性配位基,多牙芳香性配位基包含一吡咯態氮與一吡啶態氮,其中L為一極紫外光可裂解配位基,其中a為1與2之間,其中b等於或大於1,且其中c等於或大於1。
根據本揭示之一實施方式,提供一種處理光阻層的極紫外光阻前驅物,包含:一金屬離子;一極紫外光可裂解配位基,配位至金屬離子;以及一多牙配位基配位至金屬離子,多牙配位基包含:至少一π共軛系統,一第一氮,包括一第一孤對電子對,及一第二氮,包括一第二孤對電子對,其中第一孤對電子對是至少一π共軛系統的一者的 一部份,而第二孤對電子對不包括在至少一π共軛系統的任何一者中。
根據本揭示之一實施方式,提供一種處理光阻層的方法,包含:直接地沉積一光阻層於一材料層上,其中光阻層包括一前驅物,前驅物包含:一金屬離子,一極紫外光可裂解配位基,配位至金屬離子,及一芳香性配位基,配位至金屬離子,其中芳香性配位基包含一吡咯態氮與一吡啶態氮;以及曝光光阻層的一部分至極紫外光輻射,以從金屬離子的一配位位點裂解出極紫外光可裂解配位基,活化吡咯態氮,及將活化後的吡咯態氮配位至配位位點。
100:有機金屬前驅物
102:金屬離子
104:多牙芳香性配位基
106:極紫外光可裂解配位基
1040:共軛結構
1040':相連的共軛結構
1042:吡啶態氮
1044:吡咯態氮
1046:不飽和配位位點
150:EUV輻射
200:方法
202:方塊
204:方塊
206:方塊
208:方塊
210:方塊
212:方塊
214:方塊
300:工件
302:基板
304:材料層
306:光阻層
308:無曝光部分
310:曝光部分
312:光阻層
314:開口
316:凹槽
400:預曝光處理製程
500:微影系統
502:EUV光源
504:照明器
506:遮罩台
508:遮罩
510:投影光學元件
512:瞳相位調變器
514:基板台
600:後曝光烘烤製程
700:乾蝕刻製程
當結合附圖閱讀時,自以下詳細敘述可以最佳地理解本揭示的態樣。所強調的是,根據行業中標準實務,各特徵未按比例繪製,並僅用以說明目的。事實上,為論述的清楚性,各特徵之尺寸可任意地增加或縮減。
第1圖示意地示出根據本揭示的多個態樣之有機金屬前驅物的分子結構。
第2A圖與第2B圖示意地示出根據本揭示的多個態樣之第1圖中的有機金屬前驅物的多牙芳香性配位基的代表性結構。
第3圖示出根據本揭示的多個態樣之第1圖中的有機金屬前驅物的示例性的單環(single-ring)多牙芳香性配位基。
第4圖示出根據本揭示的多個態樣之第1圖中的有機金屬前驅物的示例性的多環(multi-ring)多牙芳香性配位基。
第5圖示出根據本揭示的多個態樣之第1圖中的有機金屬前驅物的示例性的極紫外光可裂解配位基。
第6圖示出根據本揭示的多個態樣之進行一還原反應的第1圖中的有機金屬前驅物。
第7圖示出根據本揭示的多個態樣之進行一還原反應的示例性的有機金屬前驅物。
第8圖示出根據本揭示的多個態樣之用於圖案化一工件的方法200的流程圖。
第9圖至第15圖示出根據本揭示的多個態樣之第8圖的方法200的多個步驟中的工件的局部橫截面圖。
第16圖示出根據本揭示的多個態樣之本揭示的有機金屬前驅物配位至材料層上的官能基的示例性機制。
以下揭示提供多個不同實施方式或實施例,以實現所提供之申請標的不同特徵。以下敘述部件、數值、操作、材料、排列或其類似的特定實施例,以簡化本揭示。這些當然僅為實施例,並非用以作為限制。其他部件、數值、操作、材料、排列或其類似亦被考慮。舉例而言,在隨後的敘述中,在第二特徵上方或在第二特徵上之第一特徵的形成,可包括第一特徵及第二特徵形成為直接接觸之實施 方式,亦可包括有另一特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施方式。另外,本揭示可在各實施例中重複元件符號及/或字母。此重複為出於簡化及清楚之目的,且本身不指示所論述的各實施方式及/或結構之間的關係。
此外,本文中可使用空間性相對用詞,例如「下方(beneath)」、「低於(below)」、「下(lower)」、「之上(above)」、「上(upper)」及其類似用語,係利於敘述圖式中一個元件或特徵與另一個元件或特徵的關係。這些空間性相對用詞本意上涵蓋除了圖中所繪示的位向之外,亦涵蓋使用或操作中之裝置的不同位向。設備亦可被轉換成其他位向(旋轉90度或其他位向),因此本文中使用的空間性相對敘述以應做類似的解釋。
此外,當使用「大約(about)」、「近似(approximate)」及其類似用詞來敘述一個數值或一數值範圍時,此用詞旨在涵蓋在合理範圍內的數值,考慮到在製造過程中固有地產生的變化,如本領域中具有通常知識者所能理解的。舉例而言,數值或數值範圍涵蓋包括此數值的合理範圍,例如基於製造特徵相關的已知製造公差,此數值或數值範圍位於此數值的+/-10%之內。舉例而言,在本領域中具有通常知識者已知沈積材料層相關的製造公差為+/-15%的情形下,具有「約5nm」的厚度的材料層的厚度可以為4.25nm至5.75nm的尺寸範圍內。此外,本揭示可以在多個實例中重複圖式標號及/或字母。此重 複僅是為了簡化和清楚起見,其並非指出在所討論的多種實施方式及/或配置之間的關係。
本揭示大致上有關於極紫外光EUV光微影,更具體地有關於極紫外光阻中的有機金屬前驅物。
一些現有的極紫外光阻的形式是包括陽離子物種與陰離子物種的溶液。陰離子物種包括金屬離子,此金屬離子配位至對EUV穩定的配位基與橋聯配位基。橋聯配位基作為交聯劑以配位至另一個金屬離子。某些現有EUV中的橋聯配位基的例子可包括草酸根離子(C2O4 2-)。這些極紫外光阻對多個表面具有不良的附著力。為了改善附著力,需要表面處理或一附著力促進層以確保合適的附著力。附著力促進層的例子可以包括六甲基二矽氮烷(hexamethyldisilanzne,HMDS)。此外,由於橋聯配位基是極紫外光可裂解的並同時作為交聯劑,因此難以很好地控制由EUV引發的交聯過程。另一方面,需要從金屬離子裂解出一些橋聯配位基以形成用於交聯的非配位位點。然而,一些橋聯配位基需要保持配位至金屬離子以作為交聯劑。當沒有裂解出橋聯配位基或裂解出所有橋聯配位基時,交聯可能是不令人滿意的。
本揭示提供了光阻中的一種有機金屬前驅物,在沒有表面處理或不存在附著力促進層的情形下,此有機金屬前驅物可以極佳地附著在多個表面上,並且能夠以受到良好控制的方式進行交聯。本揭示的有機金屬前驅物包括配位至複數個多牙芳香性配位基的金屬離子以及複數個極紫 外光可裂解配位基。多牙芳香性配位基包括共軛結構、吡咯態氮(pyrrole-like nitrogen)、與吡啶態氮(pyridine-like nitrogen)。極紫外光可裂解配位基包括烯基或羧酸鹽基。多牙芳香性配位基的各者透過吡咯態氮配位至金屬離子。當有機金屬前驅物受到EUV輻射照射時,多牙芳香性配位基的吡咯態氮原子被活化,而EUV可從金屬離子裂解出配位基。活化後的吡咯態氮可配位至另一個金屬離子的配位位點,此配位位點是由於極紫外光可裂解配位基的裂解而留下的。金屬離子具有高原子吸收截面,允許可用的配位位點鍵結至多個表面官能基。透過控制極紫外光可裂解配位基與多牙芳香性配位基的化學計量比(stoichiometry ratio),可以良好地控制交聯方式和交聯度。
第1圖示意地示出根據本揭示的多個態樣之有機金屬前驅物100的分子結構。有機金屬前驅物100包括金屬離子(M)102、配位至金屬離子102的複數個多牙芳香性配位基(X)104,以及配位至金屬離子102的複數個極紫外光可裂解配位基(L)106。替代地,有機金屬前驅物100亦可表示為MaXbLc,其中M代表金屬離子102,L代表極紫外光可裂解配位基106,X代表多牙芳香性配位基106,「a」為約1至2之間,「b」等於或大於1,且「c」等於或大於1。「b」與「c」的總和小於金屬離子102的可用的配位位點,從而留下至少一個無配位位點以改進附著力。當有機金屬前驅物100位於光阻中,並且光 阻將被沉積在材料層上時,至少一個無配位位點可鍵結至表面官能基,其中表面官能基例如為矽氧化物層或金屬氧化物層上的羥基,或例如為矽氮化物層上的胺基。有機金屬前驅物100的至少一個無配位位點可以在沒有表面處理或額外的附著層的情況下提供良好的附著力。金屬離子102可包括具有高原子吸收截面的金屬。金屬離子102的例子可包括錫(Sn)、鉍(Bi)、銻(Sb)、銦(In)、或碲(Te)。由於金屬離子102可具有六個(6)配位位點,而「b」與「c」的總和(即極紫外光可裂解配位基(L)106與多牙芳香性配位基(X)104的總數目)可不超過5,留下至少一不飽和位點(即無配位位點)。有機金屬前驅物100是電中性的,並不同於某些現有的有機金屬前驅物是離子形式且由反離子(counter ion)所穩定。在一些實施方式中,可以原位製備本揭示的有機金屬前驅物100,接著使用旋轉塗佈而沉積在半導體裝置工件上。在一些其他實施方式中,可以使用化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)將有機金屬前驅物100沉積在半導體裝置工件上。
第2A圖與第2B圖示意地示出根據本揭示的多個態樣之第1圖中的有機金屬前驅物100的多牙芳香性配位基104的代表性結構。在第2A圖所示的一實施方式中,多牙芳香性配位基104包括共軛結構1040、吡咯態氮1044、與吡啶態氮1042,其中吡咯態氮1044與吡啶態 氮1042是共軛結構1040的芳香環的一部份。共軛結構1040可包括具有重疊的p軌域與π電子的非定域化(delocalization)的碳(C)原子、磷(P)原子、氧(O)原子、硫(S)原子、硒(Se)或硼(B)原子。換言之,共軛結構1040包括一個π系統(或一個π共軛系統)。吡啶態氮1042,顧名思義,以類似於吡啶分子中氮的方式連接或定位。吡啶態氮1042具有一孤對電子對,此孤對電子對是共軛結構的π系統的一部分。吡咯態氮1044,顧名思義,以類似於吡咯分子中氮的方式連接或定位。吡咯態氮1044亦具有一孤對電子對。不同於吡啶態氮1042的孤對電子對,吡咯態氮1044的孤對電子對不是共軛結構的π系統的一部分。在第2B圖所示的另一個實施方式中,多牙芳香性配位基104包括共軛結構1040、相連的共軛結構1040’、鍵接至共軛結構1040的吡啶態氮1042、以及鍵接至相連的共軛結構1040’的吡咯態氮1044。相似於第2A圖中所示的實施方式,共軛結構1040與相連的共軛結構1040’可包括具有重疊的p軌域與π電子的非定域化的碳(C)原子、磷(P)原子、氧(O)原子、硫(S)原子、硒(Se)或硼(B)原子。換言之,共軛結構1040與相連的共軛結構1040’的各者包括一個π系統(或一個π共軛系統)。在第2B圖所示的實施方式中,吡啶態氮1042具有孤對電子對,此孤對電子對是共軛結構1040的π系統的一部分。吡咯態氮1044的孤對電子對不是相連的共軛結構1040’的π系統的一部分,也不是共軛 結構1040的π系統的一部分。為了易於說明起見,本揭示的多牙芳香性配位基104可視為包括共軛結構1040、吡咯態氮1044、與吡啶態氮1042。當多牙芳香性配位基104包括多於一個共軛結構時,對於某一個共軛結構的敘述一般上適用於其他共軛結構。
共軛結構1040可包括5元雜環、6元雜環、或其一組合。在一些實施方式中,共軛結構1040可包括連接或稠合的兩個或以上5元雜環、連接或稠合的兩個或以上6元雜環、連接或稠合的至少一個5元雜環與至少一6元雜環。由於共軛結構1040包括一個π系統與一個含環結構,因此共軛結構1040包括不飽和環並亦可稱為芳香性結構1040。
吡咯態氮1044與吡啶態氮1042的各者可捐贈一對電子。因此,它們的各者各自可以提供齒合度(denticity)。由於多牙芳香性配位基104包括至少一個吡咯態氮1044與一個吡啶態氮1042,因此多牙芳香性配位基104能夠提供大於一的齒合度,並因此為「多牙」。多牙芳香性配位基104可包括介於2與4之間的齒合度。在一些實施方式中,多牙芳香性配位基104透過吡啶態氮1042配位至金屬離子102,而吡咯態氮1044保持沒有配位。如後續將敘述,EUV輻射可活化吡咯態氮1044以連接配位至另一個金屬離子。當發生此情形時,一個多牙芳香性配位基104的吡咯態氮1044與吡啶態氮1042配位至兩個金屬離子102,從而使它們橋接在一起。在此, 多牙芳香性配位基104作用為橋聯配位基,在EUV輻射的照射時形成橋接。
儘管未在圖中明確示出,但在一些替代實施方式中,吡咯態氮1044與吡啶態氮1042的至少一者可以被噻吩態(thiophene-like)硫(S)、(硒烯態(selenophene-like)硒(Se)、噻唑態(thiazole-like)硫(S)、硒唑態(selenazole-like)硒(Se)、呋喃態(furan-like)氧(O)、噁唑態(oxazole-like)氧(O)、重氮硼雜苯態(diazaborinine-like)硼(B)、雙(甲基胺基)硼態((bis(methylamine)boron-like)硼(B)、磷雜環戊二烯態(triphosphole-like)磷(P)、或硫(S)、硒(Se)、氧(O)、硼(B)、或磷(P)的其他電子供體形式所取代。某些上述取代物可具有一孤對電子對,此孤對電子對是多牙芳香性配位基104的π系統的一部分。某些上述取代物可具有一孤對電子對,此孤對電子對不是多牙芳香性配位基104的π系統的一部分。某些上述取代物可具有位於π系統中的一孤對電子對以及π系統外的另一個孤對電子對。相似於吡咯態氮1044或吡啶態氮1042,硫(S)、硒(Se)、磷(P)、硼(B)、或氧(O)的取代亦可提供齒合度,並作為橋聯配位基-多牙芳香性配位基104的一部份。儘管本揭示較詳細地敘述了吡咯態氮1044與吡啶態氮1042,但相似的機制和應用亦可類似地適用於這些替代實施方式。
第3圖示出示例的單環多牙芳香性配位基104。 這些示例的單環多牙芳香性配位基104一般上對應於第2A圖所示的僅有一個共軛結構1040的實施方式。這些示例包括吡唑(pyrazole)、咪唑(imidazole)、1,2,4-三唑(1,2,4-triazole)、1,2,3-三唑(1,2,3-triazole)、與四唑(tetrazole)。從第3圖可見,這些示例的各者包括一共軛結構,此共軛結構具有一個π系統、至少一吡咯態氮與至少一吡啶態氮。雖然沒有明確地示出,第3圖所示的例子也可能擴展到其衍生物,其中氫原子被烷基、烯基、或氟所取代。
第4圖示出示例的多環多牙芳香性配位基104。這些示例的單環多牙芳香性配位基104一般上對應於第2B圖所示的實施方式,其中存在一共軛結構1040與一相連的共軛結構1040’。這些示例包括吲唑(indazole)、苯並咪唑(benzimidazole)、7-氮雜吲哚(7-azaindole)、4-氮雜吲哚(4-azaindole)、吡咯基吡啶(pyrrolyl pyridine),或嘌呤(purine)。從第4圖可見,這些示例的各者包括一共軛結構,此共軛結構具有一個π系統、至少一吡咯態氮與至少一吡啶態氮。雖然沒有明確地示出,第4圖所示的例子也可能擴展到其衍生物,其中氫原子被烷基、烯基、或氟所取代。
第5圖示出示例的極紫外光可裂解配位基106。這些示例包括烯基或羧酸鹽基。烯基中的基團R1、R2與R3可包括氫、氟或烷基。羧酸鹽基中的基團R4可包括氫、氟或烷基。基團R1、R2、R3、與R4可以是相同或不同。 這些示例極紫外光可裂解配位基中的烷基可以是直鏈的、支鏈的或環狀的,並可包括1至6個碳原子。烯基與羧酸鹽基兩者包括一個雙鍵,此雙鍵可能因EUV輻射的入射而斷裂,從而使這些示例極紫外光可裂解配位基具有其極紫外光可裂解性質。
第6圖示出根據本揭示的多個態樣之進行一還原反應的有機金屬前驅物100。為了易於說明,第6圖中僅示出了四個有機金屬前驅物100。在EUV輻射的入射下,從這四個有機金屬前驅物100的每一者裂解出至少一個極紫外光可裂解配位基(L)106,為金屬離子102提供配位位點。此外,EUV輻射可能會斷裂吡咯態氮的氮-氫(N-H)鍵,使得吡咯態氮失去一個氫,且吡咯態氮的氮位點(N位點)被活化。極紫外光可裂解配位基(L)106可與氫結合以形成離去基團。多牙芳香性配位基104的吡咯態氮的活化後氮位點可配位至一個金屬離子102的一個配位位點,其中此配位位點是離去之極紫外光可裂解配位基106所留下的空缺。極紫外光可裂解配位基(L)106與氫的減去造成四個有機金屬前驅物100的交聯。一些多牙芳香性配位基104在兩個金屬離子102之間延伸並作用為橋聯配位基。
使用一個實例進一步說明第6圖中的還原反應。在第7圖中所示的示例中,有機金屬前驅物100包括一金屬離子102,金屬離子102配位至一極紫外光可裂解配位基(L)106與咪唑,其中咪唑作為多牙芳香性配位基104 的一個例子。更具體地,咪唑是透過吡咯態氮1044配位至金屬離子102,而吡啶態氮1042沒有配位。在EUV輻射150的入射下,透過EUV輻射150產生的自由基,從金屬離子102裂解出極紫外光可裂解配位基(L)106,留下金屬離子102的一個空的配位位點。EUV輻射150亦裂解氫與吡咯態氮1044之間的鍵,從而活化吡咯態氮1044。極紫外光可裂解配位基(L)106與裂解出的氫可形成離去基團(leaving group,LH),而活化後的吡咯態氮1044可配位至空的配位位點。因此,多牙芳香性配位基104以其兩個齒合度橋接兩個金屬離子102。
一般而言,正光阻(或正型光阻)是一種光阻,其中暴露至光的光阻部分可溶解於光阻顯影劑。光阻的無曝光部分維持不溶解於光阻顯影劑。負光阻(或負型光阻)是一種光阻,其中暴露至光的光阻部分變得不溶於光阻顯影劑。光阻的無曝光部分被光阻顯影劑溶解。由於EUV輻射形成交聯而降低有機金屬前驅物100在顯影劑中的溶解度,因此有機金屬前驅物100可以是用於EUV微影的負光阻中的活性成分。第8圖示出了使用包括在此所述的有機金屬前驅物100的負光阻在工件上對材料層進行圖案化的方法200的流程圖。方法200只是一個示例,並不旨在將本揭示限制為方法200中明確說明的內容。對於方法的另外的實施方式,可以在方法200之前、期間與之後提供其他步驟,並且可以替換、消除或移動所敘述的某些步驟。為了簡化起見,在此沒有詳細敘述所有步驟。以下結合第9 圖至第15圖對方法200進行說明,第9圖至第15圖是根據方法200的實施方式在製造的不同階段的工件300的局部橫截面圖。另外地,在本申請全文中,除非另有說明,否則相似的附圖標記表示相似的特徵。
參照第8圖與第9圖,方法200包括方塊202,其中提供工件300。工件300包括基板302與材料層304,材料層304設置在基板302上方。值得注意的是在第9圖中以虛線表示基板302,且為了簡化起見在第10圖至第15圖中省略基板302。基板302可包括元素(單一元素)半導體,例如矽(Si)及/或鍺(Ge);化合物半導體,例如碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、及/或銻化銦(InSb);合金半導體,例如矽化鍺(SiGe)、磷化砷鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、及/或磷化銦鎵砷(GaInAsP);非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融二氧化矽(fused silica)、熔融石英、及/或氟化鈣(CaF2)及/或其組合。在一些其他實施方式中,基板302可以是具有均勻組成的單層材料。替代地,基板302可包括適用於IC裝置製造之具有相似或不同組成的多種材料層。在一實施例中,基板302可為絕緣體上矽(SOI)基板,其具有形成於矽氧化物層上的半導體矽層。基板302可包括形成於其上的多個電路特徵部,例如包括場效電晶體(FET)、金屬氧化物半導體場效電晶體 (MOSFET)、CMOS電晶體、高壓電晶體、高頻電晶體、雙極性接面(bipolar junction)電晶體、二極體、電阻器、電容器、電感器、變容二極體、其他合適的裝置及/或其組合。
基板302上方的材料層304代表將在其上沉積光阻層306(將在下面敘述)的最頂層。也就是說,在某些情況下,材料層304及其下方的一或多個層將被圖案化。在一些實施方式中,材料層304可以是用作硬遮罩層、底部抗反射塗層(bottom antireflective coating,BARC)或絕緣層的介電層。在這些實施方式中,材料層304可包括氧化矽、氮化矽、氧氮化矽、碳氮化矽、氧碳氮化矽、金屬氧化物、碳化矽、或氧碳化矽。金屬氧化物的示例可包括高k介電材料,例如氧化鈦(TiO2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta2O5)、氧化鉿矽(HfSiO4)、氧化鋯(ZrO2)、氧化鋯矽(ZrSiO2)、氧化鑭(La2O3)、氧化鋁(Al2O3)、氧化鋯(ZrO)、氧化釔(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、或(Ba,Sr)TiO3(BST)。在一些其他實施方式中,材料層304可包括半導體材料,例如矽(Si)、鍺(Ge)、砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)或矽化鍺(SiGe)。在其他實施方式中,材料層304可包括聚合物層,例如聚酰亞胺層或聚合性的BARC層。在又其他實施方式中,材料 層304可包括導電材料,例如氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鋁鉭(TaAlN)、碳化鋁鉭(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)或銅(Cu)。當材料層304包含表面官能基例如羥基或胺基時,由於光阻層306包括有機金屬前驅物100,因此材料層304可以與隨後沉積的光阻層306形成良好的附著力。
在材料層304可催化光阻層306的初步(pre-mature)交聯(將在下面敘述)的一些實施方式中,在方塊202處,可以在沉積光阻層之前,在材料層304上沉積非常薄的矽氧化物層或非常薄的聚合物層以作為保護覆蓋(或覆蓋層)。
參照第8圖與第10圖,方法200包括方塊204,其中光阻層306沉積在材料層304上。光阻層306包括如上所述的有機金屬前驅物100,並可包括其他添加物或介面活性劑。光阻層306可以是負型光阻層。在一些實施方式中,可以使用旋轉塗佈、化學氣相沉積(CVD)、或原子層沉積(ALD)來沉積光阻層306。當使用旋轉塗佈來沉積光阻層306時,有機金屬前驅物100可與添加物及介面活性劑一起溶解或分散於分散液中或溶液中,並接著塗佈在材料層304上。參照第16圖,由於光阻層306中的有機金屬前驅物100含有至少一個不飽和配位位點 1046(通常為約1至2個不飽和配位位點),不飽和配位位點1046可以配位至材料層304的官能基或懸鍵(dangling bond),光阻層306可以良好地附著至材料層304,而無需對材料層304進行任何表面處理或修飾如六甲基二矽氮烷(HMDS)預處理。作為一實例,第16圖示出光阻層306中的有機金屬前驅物100可配位至材料層304中的一個羥基。
當使用ALD或CVD沉積光阻層306時,有機金屬前驅物100的氣體前驅物可被導向材料層304,其中氣體前驅物彼此反應並與材料層304反應以形成光阻層306。在一些情形中,氣體前驅物可包括第一氣體前驅物與第二氣體前驅物。第一氣體前驅物可包括鹵素取代的極紫外光可裂解配位基106,例如鹵代烷。第二氣體前驅物可包括與多牙芳香性配位基104及鹵化物配位的金屬離子102。鹵素組分使得氣體前驅物呈氣態。在CVD或ALD製程期間,可以加熱材料層304,且當第一氣體前驅物與第二氣體前驅物接觸受到加熱的材料層304時,鹵素組分被移除。換言之,當使用ALD或CVD沉積光阻層306時,可以透過化學反應來形成光阻層306,此化學反應中混合了蒸氣態的有機金屬前驅物(MaXbVc,其中V為揮發性基團,例如鹵化物或含鹵素基團)與蒸氣態的極紫外光可裂解配位基(L)以形成有機金屬前驅物100(MaXbLc),並接著將有機金屬前驅物100沉積在材料層304的表面上。
參照第8圖與第11圖,方法200包括方塊206, 其中執行預曝光處理製程400。預曝光處理製程400亦可以稱為後施加處理(post-application treatment)製程400。預曝光處理製程400促進了光阻層306中的不希望的物質的排出(outgassing)或移除多餘的水分。不希望的物質可包括在使用氣態前驅物沉積光阻層306時,在CVD或ALD製程期間的副產物或離去基團。示例可包括含鹵素的物種。根據所欲移除物質的性質,預曝光處理製程400可包括烘烤製程、紅外線固化製程、紫外線(UV)固化製程、或可見光固化製程。在不希望的物質將被中和的一些替代實施方式中,預曝光處理製程可包括以反應物氣體例如矽烷(SiH4)修飾光阻層306的表面。當預曝光處理製程400包括烘烤製程時,烘烤溫度可以在約60℃與約170℃之間。
參照第8圖與第12圖,方法200包括方塊208,其中光阻層306暴露至輻射的一圖案。可以使用微影系統500執行在方塊208處的曝光,如第12圖中示意地所示。微影系統500亦可以被統稱為曝光機(scanner),可操作曝光機以執行微影製程,包括以個別的輻射源在特定的曝光模式中進行曝光。在至少一些實施方式中,微影系統500包括極紫外光(EUV)微影系統,設計用於透過EUV輻射對光阻層例如光阻層306進行曝光。第12圖的微影系統500包括複數個子系統,例如EUV光源502、照明器504、遮罩台506、遮罩508、投影光學元件510與基板台514,其中遮罩台506配置為接收遮罩508,而基板 台514配置為接收工件,工件例如為工件300。可給出微影系統500的大致操作如下:來自EUV源502的EUV輻射被引導向照明器504(其包括一組反射鏡)並且被投影到反射性的遮罩508上。反射的遮罩圖像被引導向投影光學元件510,投影光學元件510聚焦EUV光並將EUV光投射到工件300上以曝光沉積在工件300上的極紫外光阻層。另外地,在多個示例中,微影系統500的每個子系統都可以容納在高真空環境中並因此在高真空環境內運作,以減少對EUV光的大氣吸收(atmospheric absorption)。
在此所敘述的實施方式中,EUV源502可用於產生EUV輻射。在一些實施方式中,EUV源502可包括電漿源,例如放電產生的電漿(discharge produced plasma,DPP)或雷射產生的電漿(laser produced plasma,LPP)。在一些實施例中,EUV輻射可包括輻射,其具有集中在大約13.5nm處的波長。在一些實施方式中,EUV源502亦包括收集器(collector),其可以用於收集從電漿源產生的EUV輻射,並將EUV輻射引向成像光學元件,成像光學元件例如為照明器504。如上所述,來自EUV源502的EUV輻射被引導向照明器504。在一些實施方式中,照明器504可包括反射性的光學元件,例如單一鏡或具有多個鏡的鏡系統,以將來自EUV源502的輻射引導到遮罩台506上,尤其是引導到固定在遮罩台506上的遮罩508。在一些實施例中,照明器504可包括 一個波帶片(zone plate),舉例而言,以改進EUV輻射的聚焦。在一些實施方式中,照明器504可配置成使穿過其中的EUV輻射穿過特定的瞳形狀而成形,瞳形狀包括例如偶極形(dipole shape)、四極形(quadrupole shape)、環形(annular shape)、單束形(single beam shape)、多束形(multiple beam shape)及/或其一組合。在一些實施方式中,照明器504是可操作以配置鏡子(即照明器504的鏡子)以對遮罩508提供所期望的照明。在一實施例中,照明器504的鏡子是可配置的以反射EUV輻射至不同的照明位置。在一些實施方式中,位於照明器504前的一個台可另外地包括其他可配置的鏡子,其可用於在照明器504的鏡子內以引導EUV輻射至不同的照明位置。在一些實施方式中,照明器504配置成對遮罩508提供軸上照明(on-axis illumination,ONI)。在一些實施方式中,照明器504配置成對遮罩508提供離軸照明(off-axis illumination,OAI)。值得注意的是,EUV微影系統500中使用的光學元件,特別是用於照明器504與投影光學元件510的光學元件,可包括具有稱為布拉格反射器(Bragg reflector)的多層薄膜塗層的鏡。舉例而言,這個多層薄膜塗層可包括Mo與Si的交替層,其提供對於在EUV波長(例如約13nm)處的高反射率。
如上所述,微影系統500亦包括遮罩台506,遮罩台506配置成固定遮罩508。由於微影系統500可以容 納在高真空環境中並因此在其內操作,因此遮罩台506可包括用以固定遮罩508的靜電吸盤(e-chuck)。與EUV微影系統500的光學元件一樣,遮罩508亦為反射性。如第12圖的例子所示,輻射從遮罩508反射並引導向投影光學元件510,投影光學元件510收集從遮罩508反射的EUV輻射。舉例而言,透過投影光學元件510收集的EUV輻射(從遮罩508反射)攜帶由遮罩508所定義的圖案的圖像。在多個實施方式中,投影光學元件510提供用於將遮蔽罩508的圖案成像到固定在微影系統500的基板台514上的工件300上。特別地,在多個實施方式中,投影光學元件510聚焦所收集到的EUV光,並將EUV光投射到工件300上以曝光工件300上的光阻層306。如上所述,投影光學元件510可包括用於EUV微影系統(例如微影系統500)中的反射性光學元件。在一些實施方式中,照明器504與投影光學元件510統稱為微影系統500的光學模組。
在一些實施方式中,微影系統500亦包括瞳相位調變器(pupil phase modulator)512以調變從遮罩508射出的EUV輻射的光學相位,從而使光具有沿著投影瞳平面(projection pupil plane)的相位分佈。在一些實施方式中,瞳相位調變器512包括用於調整投影光學元件510的反射鏡以進行相位調變的機構。舉例而言,在一些實施方式中,投影光學元件510的鏡子是可配置的,以反射穿過瞳相位調變器512的EUV光,從而調變穿過 投影光學元件510的光的相位。在一些實施方式中,瞳相位調變器512利用放置在投影瞳平面上的瞳濾波器(pupil filter)。舉例而言,瞳濾波器可用於濾除從遮罩508反射的EUV輻射的特定空間頻率分量。在一些實施方式中,瞳濾波器可以用作相位瞳濾波器(phase pupil filter),其用於調製穿過投影光學元件510的光的相位分佈。
如第12圖所示,透過使用微影系統500,光阻層306的曝光部分310曝光於EUV輻射,而無曝光部分308保持不曝光。光阻層306的曝光部分310中的有機金屬前驅物100變成交聯的。更特別地,參考第1圖與第2圖,來自微影系統500的EUV輻射產生自由基。自由基從金屬離子102裂解出極紫外光可裂解配位基106,並從吡咯態氮1044裂解出氫。吡咯態氮1044被活化並配位至具有一個無配位位點的另一個金屬離子。多牙芳香性配位基104作為橋聯配位基以形成交聯。因為由EUV產生的自由基,在無曝光部分308中沒有發生相同的交聯。
參照第8圖與第13圖,方法200包括方塊210,其中執行後曝光烘烤製程600。在一些實施方式中,選擇後曝光烘烤製程600的烘烤溫度或烘烤溫度曲線,以確保在方塊208處的EUV曝光製程期間產生的離去基團的移除。此離去基團對應於上述的離去基團LH。後曝光烘烤製程600的烘烤溫度可以在約50℃至約150℃之間。
參照第8圖與第14圖,方法200包括方塊212, 其中曝光後的光阻層306被顯影以形成圖案化的光阻層312。在方塊212處,顯影劑溶液用於移除無曝光部分308,無曝光部分308在方塊208處沒有交聯。選擇顯影劑溶液,使得其適合於選擇性地溶解與移除無曝光部分308(無交聯),而光阻層306的曝光部分310(交聯)基本上保持完整。合適的顯影劑溶液可包括溶劑,例如乙酸正丁酯、乙醇、己烷、苯、甲苯、水、異丙醇(isopropyl alcohol,IPA)或2-庚酮。在一些實施方式中,方塊212亦可包括一或多個多個除渣(descum)或沖洗(rinsing)製程以移除光阻層306的任何殘留物或碎片。在方塊212的操作結束時,形成了圖案化的光阻層312。透過無曝光部分308的移除,圖案化的光阻層312包括開口314,而開口314中暴露出材料層304。
參照第8圖與第15圖,方法200包括方塊214,其中使用圖案化的光阻層312作為蝕刻遮罩來蝕刻材料層304。在一些實施方式中,以乾蝕刻製程700來蝕刻材料層304,乾蝕刻製程700例如為反應性離子蝕刻(reactive ion etch,RIE)製程,並使用圖案化的光阻層312作為蝕刻遮罩。在一些實施例中,可以使用包括蝕刻劑氣體來實施乾蝕刻製程700,蝕刻劑氣體包括含氟蝕刻劑(例如NF3、CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氧氣體(例如O2)、含氯氣體(例如Cl2、CHCl3、CCl4、SiCl4及/或BCl3)、含氮氣體(例如N2)、含溴氣體(例如HBr及/或CHBr3)、含碘氣體、 其他合適的氣體及/或電漿、或其組合。在第15圖所示的一些實施方式中,乾蝕刻製程700在材料層304中形成凹槽316。雖然示出的凹槽316未延伸穿過材料層304,但在替代實施方式中凹槽316可以延伸穿過材料層304。
儘管不旨在限制,但是本揭示的一或多個實施方式為半導體裝置及其形成製程提供了益處。舉例而言,本揭示提供負型光阻中的有機金屬前驅物。本揭示的有機金屬前驅物包括配位至複數個多牙芳香性配位基的一金屬離子與複數個極紫外光可裂解配位基。多牙芳香性配位基包括一共軛結構、一吡咯態氮、與一吡啶態氮。極紫外光可裂解配位基包括烯基或羧酸鹽基。多牙芳香性配位基的各者透過吡咯態氮配位至金屬離子。當有機金屬前驅物被EUV輻射所照射時,多牙芳香性配位基的吡咯態氮原子被活化,而極紫外光可裂解配位基從金屬離子裂解出來。活化後的吡咯態氮可配位至另一個金屬離子的配位位點,此配位位點是由於極紫外光可裂解配位基的裂解而留下的。金屬離子具有高原子吸收截面,允許可用的配位位點鍵結至多個表面官能基。透過控制極紫外光可裂解配位基與多牙芳香性配位基的化學計量比,可以良好地控制交聯方式和交聯度。
在一示例性態樣中,本揭示係關於一種有機金屬前驅物。有機金屬前驅物具有化學式MaXbLc,其中M為金屬,X為多牙芳香性配位基,其中多牙芳香性配位基包括吡咯態氮與吡啶態氮,L為極紫外光可裂解配位基,a為1 與2之間,b等於或大於1,且c等於或大於1。
在一些實施方式中,b與c的一總和小於5。在一些實施方式中,多牙芳香性配位基包括至少一π共軛系統,吡咯態氮包括一孤對電子對,吡咯態氮的此孤對電子對是至少一π共軛系統的一者的一部份,而吡啶態氮包括一孤對電子對,吡啶態氮的此孤對電子對不是至少一π共軛系統的任何一者的一部份。在一些情形中,金屬具有高原子吸收截面。在一些實施方式中,金屬係選自由錫(Sn)、鉍(Bi)、銻(Sb)、銦(In)、與碲(Te)所組成的群組。在一些實施方式中,多牙芳香性配位基包括五元芳香環。在一些情形中,多牙芳香性配位基更包括六元芳香環,六元芳香環稠合或連接至五元芳香環。在一些情形中,多牙芳香性配位基包括吡唑、咪唑、1,2,4-三唑、1,2,3-三唑、四唑、吲唑、苯並咪唑、7-氮雜吲哚、4-氮雜吲哚、吡咯基吡啶、或嘌呤。在一些實施方式中,極紫外光可裂解配位基包括烯基或羧酸鹽基。
在另一示例性態樣中,本揭示係關於極紫外光阻前驅物。極紫外光阻前驅物包括金屬離子、配位至金屬離子的極紫外光可裂解配位基,與配位至金屬離子的多牙配位基。多牙配位基包括至少一π共軛系統、第一氮、與第二氮,其中第一氮包括第一孤對電子對,而第二氮包括第二孤對電子對。第一孤對電子對是至少一π共軛系統的一者的一部份,而第二孤對電子對不包括在至少一π共軛系統的任何一者中。
在一些實施方式中,第一氮為吡咯態氮,而第二氮為吡啶態氮。在一些實施方式中,金屬離子具有高原子吸收截面。在一些情形中,金屬離子係選自由錫(Sn)離子、鉍(Bi)離子、銻(Sb)離子、銦(In)離子、與碲(Te)離子所組成的群組。在一些實施方式中,多牙配位基包括五元芳香環。在一些情形中,極紫外光可裂解配位基包括烯基或羧酸鹽基。
在又另一示例性態樣中,本揭示係關於一種方法。方法包括直接地沉積光阻層於材料層上,其中光阻層包括前驅物,前驅物包括金屬離子、配位至金屬離子的極紫外光可裂解配位基,以及配位至金屬離子的芳香性配位基,其中芳香性配位基包括吡咯態氮與吡啶態氮。方法更包括曝光阻層的一部份至EUV輻射,以從金屬離子的配位位點裂解出極紫外光可裂解配位基,活化吡咯態氮,以及將活化後的吡咯態氮配位至配位位點。
在一些實施方式中,方法可更包括在曝光之後,烘烤光阻層以交聯光阻層的部分。在一些實施方式中,材料層包含一介電層、一導電層、一聚合物層、或一半導體層。在一些實施方式中,光阻層的沉積包含旋轉塗佈,化學氣相沉積(CVD),或原子層沉積(ALD)的使用。在一些實施方式中,光阻層的沉積包含氣態前驅物的使用。
上文概述若干實施方式的特徵,使得熟習此技藝者可更好地理解本揭示的態樣。熟習此技藝者應瞭解,他們可輕易地使用本揭示作為設計或修改其他製程及結構的基 礎,以便實施本文所介紹的實施方式的相同目的及/或實現相同優勢。熟習此技藝者亦應認識到,此類等效結構並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下,產生本文的各種變化、替代及更改。
Figure 110117176-A0305-02-0002-1
100:有機金屬前驅物
102:金屬離子
104:多牙芳香性配位基
106:極紫外光可裂解配位基

Claims (10)

  1. 一種有機金屬前驅物,包含一化學式MaXbLc,其中M為一金屬,該金屬係選自由錫、鉍、銻、銦、與碲所組成的群組,其中X為一多牙芳香性配位基,該多牙芳香性配位基包含一吡咯態氮與一吡啶態氮,其中L為一極紫外光可裂解配位基,該極紫外光可裂解配位包含一烯基或一羧酸鹽基,其中a為1與2之間,其中b等於或大於1,且其中c等於或大於1。
  2. 如請求項1所述的有機金屬前驅物,其中b與c的一總和小於5。
  3. 如請求項1所述的有機金屬前驅物,其中該多牙芳香性配位基包含至少一π共軛系統,其中該吡咯態氮包含一孤對電子對,該吡咯態氮的該孤對電子對是該至少一π共軛系統的一者的一部份,其中該吡啶態氮包含一孤對電子對,該吡啶態氮的該孤對電子對不是該至少一π共軛系統的任何一者的一部份。
  4. 如請求項1所述的有機金屬前驅物,其中該 多牙芳香性配位基包含吡唑、咪唑、1,2,4-三唑、1,2,3-三唑、四唑、吲唑、苯並咪唑、7-氮雜吲哚、4-氮雜吲哚、吡咯基吡啶、或嘌呤。
  5. 如請求項1所述的有機金屬前驅物,其中該多牙芳香性配位基包含一五元芳香環。
  6. 如請求項5所述的有機金屬前驅物,其中該多牙芳香性配位基更包含一六元芳香環,該六元芳香環稠合或連接至該五元芳香環。
  7. 一種極紫外光阻前驅物,包含:一金屬離子,係選自由錫離子、鉍離子、銻離子、銦離子、與碲離子所組成的群組;一極紫外光可裂解配位基,配位至該金屬離子,該極紫外光可裂解配位包含一烯基或一羧酸鹽基;以及一多牙配位基配位至該金屬離子,該多牙配位基包含:至少一π共軛系統,一第一氮,包括一第一孤對電子對,及一第二氮,包括一第二孤對電子對,其中該第一孤對電子對是該至少一π共軛系統的一者的一部份,而該第二孤對電子對不包括在該至少一π共軛系統的任何一者中。
  8. 如請求項7所述的極紫外光阻前驅物,其中該第一氮為一吡咯態氮,而該第二氮為一吡啶態氮。
  9. 如請求項7所述的極紫外光阻前驅物,其中該多牙配位基包含一五元芳香環。
  10. 一種處理光阻層的方法,包含:直接地沉積一光阻層於一材料層上,其中該光阻層包括一前驅物,該前驅物包含:一金屬離子,係選自由錫離子、鉍離子、銻離子、銦離子、與碲離子所組成的群組,一極紫外光可裂解配位基,配位至該金屬離子,該極紫外光可裂解配位包含一烯基或一羧酸鹽基,及一芳香性配位基,配位至該金屬離子,其中該芳香性配位基包含一吡咯態氮與一吡啶態氮;以及曝光該光阻層的一部分至極紫外光輻射,以:從該金屬離子的一配位位點裂解出該極紫外光可裂解配位基,活化該吡咯態氮,及將活化後的該吡咯態氮配位至該配位位點。
TW110117176A 2020-09-30 2021-05-12 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法 TWI806049B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085364P 2020-09-30 2020-09-30
US63/085,364 2020-09-30
US17/177,837 2021-02-17
US17/177,837 US20220100087A1 (en) 2020-09-30 2021-02-17 Photoresist for semiconductor fabrication

Publications (2)

Publication Number Publication Date
TW202214661A TW202214661A (zh) 2022-04-16
TWI806049B true TWI806049B (zh) 2023-06-21

Family

ID=79327381

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117176A TWI806049B (zh) 2020-09-30 2021-05-12 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法

Country Status (5)

Country Link
US (1) US20220100087A1 (zh)
KR (1) KR102596641B1 (zh)
CN (1) CN113946096A (zh)
DE (1) DE102021104063A1 (zh)
TW (1) TWI806049B (zh)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190337969A1 (en) * 2017-08-02 2019-11-07 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140023717A (ko) * 2012-08-17 2014-02-27 주식회사 엘지화학 광경화성 및 열경화성을 갖는 수지 조성물과 및 이를 사용하여 제조된 드라이 필름 솔더 레지스트
US9207532B2 (en) 2012-10-05 2015-12-08 California Institute Of Technology Photoinitiated olefin methathesis polymerization
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
WO2015065649A1 (en) 2013-10-30 2015-05-07 California Institute Of Technology Direct photopatterning of robust and diverse materials
CN107548473A (zh) * 2015-04-22 2018-01-05 亚历克斯·菲利普·格雷厄姆·罗宾逊 灵敏度增强的光致抗蚀剂
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US20180067393A1 (en) 2016-09-02 2018-03-08 California Institute Of Technology Photoactive catalyst compositions
US11287740B2 (en) * 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190337969A1 (en) * 2017-08-02 2019-11-07 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide

Also Published As

Publication number Publication date
CN113946096A (zh) 2022-01-18
KR102596641B1 (ko) 2023-10-31
US20220100087A1 (en) 2022-03-31
TW202214661A (zh) 2022-04-16
DE102021104063A1 (de) 2022-03-31
KR20220044154A (ko) 2022-04-06

Similar Documents

Publication Publication Date Title
US10514598B2 (en) Vacuum-integrated hardmask processes and apparatus
US9996004B2 (en) EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102207228B1 (ko) 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
TWI806049B (zh) 有機金屬前驅物、極紫外光阻前驅物、以及處理光阻層的方法
KR102603017B1 (ko) 반도체 제작용 포토레지스트
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
US20200152468A1 (en) Methods of Reducing Pattern Roughness in Semiconductor Fabrication
TWI843085B (zh) 光阻劑、半導體裝置之製造方法及極紫外線微影術方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI804806B (zh) 製造半導體元件的方法
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US20220028684A1 (en) Photoresist layer outgassing prevention
EP4327161A1 (en) High quantum efficiency dry resist for low exposure dose of euv radiation
KR20210122677A (ko) 반도체 디바이스를 제조하는 방법
CN117761968A (zh) 光阻剂溶液、使用光阻剂溶液的方法及改良光阻剂效能的方法
CN114721218A (zh) 制造半导体装置的方法