KR102596641B1 - 반도체 제작용 포토레지스트 - Google Patents

반도체 제작용 포토레지스트 Download PDF

Info

Publication number
KR102596641B1
KR102596641B1 KR1020210129622A KR20210129622A KR102596641B1 KR 102596641 B1 KR102596641 B1 KR 102596641B1 KR 1020210129622 A KR1020210129622 A KR 1020210129622A KR 20210129622 A KR20210129622 A KR 20210129622A KR 102596641 B1 KR102596641 B1 KR 102596641B1
Authority
KR
South Korea
Prior art keywords
euv
nitrogen
ligand
pyrrole
organometallic precursor
Prior art date
Application number
KR1020210129622A
Other languages
English (en)
Other versions
KR20220044154A (ko
Inventor
치쳉 리우
이첸 구오
옌유 첸
주르훙 리
치밍 양
쯔량 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220044154A publication Critical patent/KR20220044154A/ko
Application granted granted Critical
Publication of KR102596641B1 publication Critical patent/KR102596641B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/94Bismuth compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

극자외선(EUV) 리소그래피를 위한 유기금속 전구체가 제공된다. 유기금속 전구체는 MaXbLc의 화학식을 포함하며, 상기 화학식에서 M은 금속이고, X는 피롤 유사 질소 및 피리딘 유사 질소를 포함하는 다좌 방향족 리간드이고, L은 극자외선(EUV)으로 절단 가능한 리간드이고, a는 1 내지 2이고, b는 1 이상이고, c는 1 이상이다.

Description

반도체 제작용 포토레지스트{PHOTORESIST FOR SEMICONDUCTOR FABRICATION}
우선권 데이터
본 출원은 2020년 9월 30일에 출원된 미국 가출원 제63/085,364호에 대한 우선권을 주장하며, 상기 가출원의 전체 개시내용은 본원에 참조로 포함되어 있다.
배경기술
반도체 집적 회로(IC) 산업은 기하급수적으로 성장하였다. IC 재료 및 설계의 기술적 발전은 IC의 세대를 만들었으며 각각의 세대는 이전 세대보다 더 작고 복잡한 회로를 갖는다. IC 발전 과정에서, 기능 밀도(즉, 칩 영역당 상호연결된 디바이스의 수)는 일반적으로 증가한 반면 기하학적 크기(즉, 제작 공정을 사용하여 만들어질 수 있는 가장 작은 부품(또는 라인))는 감소하였다. 이러한 규모 축소 공정은 일반적으로 생산 효율을 높이고 관련 비용을 낮춤으로써 이점을 제공한다. 이러한 규모 축소는 또한 IC의 가공 및 제조의 복잡성을 증가시켰으며, 이러한 발전이 실현되기 위해서는, IC 가공 및 제조에서의 유사한 발전이 필요하다.
한 가지 예시적 측면에서, 포토리소그래피는 반도체 미세 제작에서 재료층의 일부를 선택적으로 제거하는 데 사용되는 공정이다. 상기 공정은 방사선원을 사용하여 패턴(예를 들어, 기하학적 패턴)을 포토마스크에서 재료층 위의 감광성 층(예를 들어, 포토레지스트층)으로 전사한다. 방사선은 감광성 층의 노광된 영역에서 화학적 변화(예를 들어, 용해도 증가 또는 감소)를 유발한다. 베이크 공정은 노광 전 및/또는 후, 예컨대 노광 전 및/또는 노광 후 베이크 공정에서 수행될 수 있다. 이어서 현상 공정은 현상 용액으로 노광되거나 노광되지 않은 영역을 선택적으로 제거하여 재료층에 노광 패턴을 형성한다. 포토리소그래피 공정의 해상도를 개선하여 높은 기능 밀도의 IC 디바이스를 제공하기 위해, 짧은 파장의 방사선원이 등장하였다. 이들 중 하나는 극자외선(EUV) 방사선원이다. 기존 EUV 포토레지스트가 일반적으로 이의 의도된 목적에 적합하지만, 완전히 만족스럽지는 않았다. 추가적인 개선이 바람직하다.
본 개시내용은 첨부된 도면과 함께 이해할 때 하기 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 특징이 일정한 비례로 도시되지는 않았으며 설명의 목적으로만 사용됨을 강조한다. 사실, 고찰의 명확함을 위해 다양한 특징의 크기를 임의로 늘리거나 줄일 수 있다.
도 1은 본 개시내용의 다양한 측면에 따른 유기금속 전구체의 분자 구조를 개략적으로 도시한다.
도 2a 및 도 2b는 본 개시내용의 다양한 측면에 따른, 도 1의 유기금속 전구체의 다좌 방향족 리간드의 대표 구조를 개략적으로 도시한다.
도 3은 본 개시내용의 다양한 측면에 따른, 도 1의 유기금속 전구체의 예시적 단일 고리 다좌 방향족 리간드를 도시한다.
도 4는 본 개시내용의 다양한 측면에 따른, 도 1의 유기금속 전구체의 예시적 다중 고리 다좌 방향족 리간드를 도시한다.
도 5는 본 개시내용의 다양한 측면에 따른, 도 1의 유기금속 전구체의 예시적인 EUV로 절단 가능한 리간드를 도시한다.
도 6은 본 개시내용의 다양한 측면에 따른, 환원 반응이 진행되는 도 1의 유기금속 전구체를 도시한다.
도 7은 본 개시내용의 다양한 측면에 따른, 환원 반응이 진행되는 예시적 유기금속 전구체를 도시한다.
도 8은 본 개시내용의 다양한 측면에 따른, 워크피스(workpiece)를 패터닝하는 방법(200)의 플로우차트를 도시한다.
도 9 내지 도 15는, 본 개시내용의 다양한 측면에 따른, 도 8의 방법(200)의 다양한 단계가 진행되는 워크피스의 부분 단면도를 도시한다.
도 16은 본 개시내용의 다양한 측면에 따른, 본 개시내용에 따른 유기금속 전구체가 재료층 상의 작용기와 배위결합하는 예시적 메커니즘을 도시한다.
하기 개시내용은 제공된 주제의 다양한 특징을 구현하기 위한 여러 다양한 실시양태, 또는 예시를 제공한다. 본 개시내용을 단순화하기 위해 성분 및 배열의 특정 예를 이하에 기술한다. 물론 이들은 단지 예시일 뿐이고 한정하려는 의도는 없다. 예를 들어, 하기 설명에서 제2 특징 위에 또는 제2 특징 상에 제1 특징을 형성하는 것은 제1 특징 및 제2 특징이 직접 접촉하여 형성되는 실시양태를 포함할 수 있으며, 추가적 특징이 제1 특징과 제2 특징 사이에 형성되어 제1 특징 및 제2 특징이 직접 접촉하지 않을 수 있는 실시양태를 포함할 수도 있다. 또한, 본 개시내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순함 및 명확함을 목적으로 하며, 반복 그 자체는 고찰된 다양한 실시양태 및/또는 구성 사이의 관계를 지시하지 않는다.
본원에서 "아래(beneath, below, lower)", "위(above, upper)" 등과 같은 공간적으로 상대적인 용어는 설명의 편의를 위해 도면에 도시된 바와 같이 하나의 요소 또는 특징과 다른 요소(들) 또는 특징(들)의 관계를 기술하는 데 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 방향 외에도 사용중인 또는 작동중인 디바이스의 다양한 방향을 포함하기 위한 것이다. 장치는 여러 방향을 향할 수 있고(90도 또는 다른 방향으로 회전) 본원에 사용되는 공간적으로 상대적인 서술어는 마찬가지로 이에 따라 해석될 수 있다.
또한, 수 또는 수의 범위가 "약", "대략" 등과 기술되는 경우, 상기 용어는 당업자에 의해 이해되는 바와 같이 제조 중에 본질적으로 발생하는 변화를 고려하여 합리적인 범위 이내의 수를 포함하도록 의도된다. 예를 들어, 수 또는 수의 범위는, 그 수와 관련된 특성을 갖는 특징을 제조하는 것과 관련된 공지된 제조 공차에 기초하여, 기술된 수를 포함하는 합리적인 범위, 예컨대 기술된 수의 +/- 10% 이내를 포함한다. 예를 들어, 두께가 "약 5 nm"인 재료층은 4.25 nm 내지 5.75 nm의 치수 범위를 포함할 수 있으며, 여기에서 재료층 성막과 관련된 제조 공차는 당업자에 의해 +/- 15%로 알려져 있다. 또한, 본 개시내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순함 및 명확함을 목적으로 하며, 반복 그 자체는 고찰된 다양한 실시양태 및/또는 구성 사이의 관계를 지시하지 않는다.
본 개시내용은 일반적으로 EUV 포토리소그래피 및, 보다 구체적으로는, EUV 포토레지스트의 유기금속 전구체에 관한 것이다.
일부 기존 EUV 포토레지스트는 양이온 종 및 음이온 종을 포함하는 용액의 형태로 제공된다. 음이온 종은 EUV 안정 리간드 및 브릿지 리간드와 배위결합된 금속 이온을 포함한다. 브릿지 리간드는 다른 금속 이온에 대한 가교제로 기능한다. 일부 기존 EUV의 예시적 브릿지 리간드는 옥살레이트 이온(C2O4 2-)을 포함할 수 있다. 이러한 EUV 포토레지스트는 다양한 표면에 대한 접착력이 낮다. 접착력을 개선하기 위해, 표면 처리 또는 접착 촉진층이 만족스러운 접착력을 보장하기 위해 필요하다. 접착 촉진층의 예는 헥사메틸디실라잔(HMDS)을 포함할 수 있다. 또한, 브릿지 리간드는 EUV로 절단 가능하고 동시에 가교제이기 때문에, EUV 유도 가교결합 공정을 잘 제어하는 것은 어렵다. 한편으로는, 일부 브릿지 리간드는 금속 이온으로부터 절단되어 가교결합을 위한 비배위 부위를 생성할 필요가 있다. 다른 한편으로는, 일부 브릿지 리간드는 금속 이온에 배위결합된 상태로 유지되어 가교제로 역할을 할 필요가 있다. 브릿지 리간드가 전혀 절단되지 않거나 모든 브릿지 리간드가 절단된 경우, 가교결합은 만족스럽지 못할 수 있다.
본 개시내용은 표면 처리 또는 접착 촉진층 없이 다양한 표면에 잘 접착될 수 있고 잘 제어된 방식으로 가교결합할 수 있는 포토레지스트의 유기금속 전구체를 제공한다. 본 개시내용의 유기금속 전구체는 복수의 다좌 방향족 리간드 및 복수의 EUV로 절단 가능한 리간드에 배위결합된 금속 이온을 포함한다. 다좌 방향족 리간드는 공액 구조, 피롤 유사 질소, 및 피리딘 유사 질소를 포함한다. EUV로 절단 가능한 리간드는 알케닐기 또는 카르복실레이트기를 포함한다. 각각의 다좌 방향족 리간드는 피롤 유사 질소를 통해 금속 이온에 배위결합된다. 유기금속 전구체가 EUV선으로 조사되면, 다좌 방향족 리간드의 피롤 유사 질소가 활성화되고 EUV로 절단 가능한 리간드는 금속 이온으로부터 절단된다. 활성화된 피롤 유사 질소는 EUV로 절단 가능한 리간드의 절단으로 인해 비어있는 배위 부위에서 다른 금속 이온에 배위결합될 수 있다. 금속 이온은 고 원자 흡수 단면을 가져서, 이용가능한 배위 부위가 다양한 표면 작용기와 결합할 수 있게 한다. 가교결합 방식 및 가교결합 정도는 EUV로 절단 가능한 리간드 대 다좌 방향족 리간드의 화학양론비를 제어함으로써 잘 제어될 수 있다.
도 1은 본 개시내용의 측면에 따른 유기금속 전구체(100)의 개략적인 분자 구조를 도시한다. 유기금속 전구체(100)는 금속 이온(M)(102), 금속 이온(102)에 배위결합된 복수의 다좌 방향족 리간드(X)(104), 및 금속 이온(102)에 배위결합된 복수의 EUV로 절단 가능한 리간드(L)(106)를 포함한다. 대안으로, 유기금속 전구체(100)는 MaXbLc로 표현될 수도 있으며, 여기에서 M은 금속 이온(102)을 나타내고, L은 EUV로 절단 가능한 리간드(106)를 나타내고, X는 다좌 방향족 리간드(106)를 나타내고, "a"는 약 1 내지 2이고, "b"는 1 이상이고, "c"는 1 이상이다. 하나 이상의 비배위 부위를 남겨서 접착력을 개선하기 위해, "b"와 "c"의 합은 금속 이온(102)의 이용가능한 배위 부위보다 작다. 유기금속 전구체(100)가 포토레지스트에 존재하고 포토레지스트가 재료층 위에 성막되는 경우, 하나 이상의 비배위 부위는 표면 작용기, 예컨대 규소 옥사이드층 또는 산화금속층의 히드록실기 또는 규소 니트라이드층의 아민기에 결합할 수 있다. 유기금속 전구체(100)의 하나 이상의 비배위 부위는 표면 처리 또는 추가 접착층 없이 우수한 접착을 가능하게 한다. 금속 이온(102)은 고 원자 흡수 단면을 갖는 금속을 포함할 수 있다. 금속 이온(102)의 예는 주석(Sn), 비스무트(Bi), 안티몬(Sb), 인듐(In), 또는 텔루륨(Te)을 포함할 수 있다. 금속 이온(102)은 여섯(6) 개의 배위 부위를 가질 수 있기 때문에, 하나 이상의 불포화 부위(즉, 비배위 부위)를 남기기 위해 "b"와 "c"의 합(즉, EUV로 절단 가능한 리간드(L)(106) 및 다좌 방향족 리간드(X)(104)의 총 수)은 5를 초과하지 않을 수 있다. 이온 형태로 존재하고 반대 이온에 의해 안정화된 일부 기존 유기금속 전구체와는 달리, 유기금속 전구체(100)는 전하 중성이다. 일부 실시양태에서, 본 개시내용의 유기금속 전구체(100)는 계외 제조될 수 있고 이어서 스핀온 코팅을 사용하여 반도체 디바이스 워크피스 위에 성막될 수 있다. 일부 다른 실시양태에서, 유기금속 전구체(100)는 화학 기상 증착(CVD) 또는 원자층 증착(ALD)을 사용하여 반도체 디바이스 워크피스 위에 성막될 수 있다.
도 2a 및 도 2b는 도 1의 유기금속 전구체(100)의 다좌 방향족 리간드(104)의 대표 구조를 개략적으로 도시한다. 도 2a에 도시된 한 실시양태에서, 다좌 방향족 리간드(104)는 공액 구조(1040), 피롤 유사 질소(1044), 및 피리딘 유사 질소(1042)를 포함하며, 여기에서 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042)는 공액 구조(1040)의 방향족 고리의 일부이다. 공액 구조(1040)는 겹치는 p 오비탈 및 π 전자의 비편재화를 갖는 탄소(C) 원자, 인(P) 원자, 산소(O) 원자, 황(S) 원자, 셀레늄(Se) 또는 붕소(B) 원자를 포함할 수 있다. 다시 말해, 공액 구조(1040)는 π계(또는 π 공액계)를 포함한다. 피리딘 유사 질소(1042)는, 그 이름에서 알 수 있듯이, 피리딘 분자의 질소와 유사한 방식으로 연결되거나 위치한다. 피리딘 유사 질소(1042)는 공액 구조의 π계의 일부인 고립 전자쌍을 갖는다. 피롤 유사 질소(1044)는, 그 이름에서 알 수 있듯이, 피롤 분자의 질소와 유사한 방식으로 연결되거나 위치한다. 피롤 유사 질소(1044) 또한 고립 전자쌍을 갖는다. 피리딘 유사 질소(1042)의 고립 전자쌍과는 다르게, 피롤 유사 질소(1044)의 고립 전자쌍은 공액 구조의 π계의 일부가 아니다. 도 2b에 도시된 다른 실시양태에서, 다좌 방향족 리간드(104)는 공액 구조(1040), 연결된 공액 구조(1040'), 공액 구조(1040)에 결합된 피리딘 유사 질소(1042), 및 연결된 공액 구조(1040')에 결합된 피롤 유사 질소(1044)를 포함한다. 도 2a에 도시된 실시양태와 유사하게, 공액 구조(1040) 및 연결된 공액 구조(1040')는 겹치는 p 오비탈 및 π 전자의 비편재화를 갖는 탄소(C) 원자, 인(P) 원자, 산소(O) 원자, 황(S) 원자, 셀레늄(Se) 또는 붕소(B) 원자를 포함할 수 있다. 다시 말해, 각각의 공액 구조(1040) 및 연결된 공액 구조(1040')는 π계(또는 π 공액계)를 포함한다. 도 2b에 도시된 실시양태에서, 피리딘 유사 질소(1042)는 공액 구조(1040)의 π계의 일부인 고립 전자쌍을 갖는다. 피롤 유사 질소(1044)의 고립 전자쌍은 연결된 공액 구조(1040')의 π계의 일부가 아니며 공액 구조(1040)의 π계의 일부도 아니다. 설명의 편의를 위해, 본 개시내용의 다좌 방향족 리간드(104)는 공액 구조(1040), 피롤 유사 질소(1044), 및 피리딘 유사 질소(1042)를 포함하는 것으로 간주될 수 있다. 다좌 방향족 리간드(104)가 2개 이상의 공액 구조를 갖는 경우, 하나의 공액 구조의 설명이 일반적으로 다른 공액 구조에 적용된다.
공액 구조(1040)는 5원 헤테로시클릭 고리, 6원 헤테로시클릭 고리, 또는 이들의 조합을 포함할 수 있다. 일부 실시양태에서, 공액 구조(1040)는 함께 연결되거나 융합된 2개 이상의 5원 헤테로시클릭 고리, 함께 연결되거나 융합된 2개 이상의 6원 헤테로시클릭 고리, 함께 연결되거나 융합된 하나 이상의 5원 헤테로시클릭 고리 및 하나 이상의 6원 헤테로시클릭 고리를 포함할 수 있다. 공액 구조(1040)는 π계 및 고리 함유 구조를 포함하기 때문에, 공액 구조(1040)는 불포화 고리(들)를 포함하며 또한 방향족 구조(1040)로 지칭될 수 있다.
각각의 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042)는 전자쌍을 공여할 수 있다. 이러한 이유로, 이들 각각은 자리수(denticity)를 제공할 수 있다. 다좌 방향족 리간드(104)는 적어도 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042)를 포함하기 때문에, 다좌 방향족 리간드(104)는 2개 이상의 자리수를 제공할 수 있으며 따라서 "다좌"이다. 다좌 방향족 리간드(104)는 2 내지 4의 자리수를 포함할 수 있다. 일부 실시양태에서, 다좌 방향족 리간드(104)는 피리딘 유사 질소(1042)를 통해 금속 이온(102)과 배위결합되고 피롤 유사 질소(1044)는 배위결합하지 않은 상태로 유지된다. 이하에 추가로 기술되는 바와 같이, EUV선은 피롤 유사 질소(1044)를 활성화시켜 다른 금속 이온에 배위결합하도록 연결할 수 있다. 이 때, 다좌 방향족 리간드(104)의 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042)는 2개의 금속 이온(102)에 배위결합되여 이들을 가교시킨다. 이러한 점에서, 다좌 방향족 리간드(104)는 EUV선 조사시 브릿지를 형성하는 브릿지 리간드 역할을 한다.
도면에 명시적으로 도시되지는 않았지만, 일부 대안적 실시양태에서, 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042) 중 하나 이상은 티오펜 유사 황(S), 셀레노펜 유사 셀레늄(Se), 티아졸 유사 황(S), 셀레나졸 유사 셀레늄(Se), 퓨란 유사 산소(O), 옥사졸 유사 산소(O), 디아자보리닌 유사 붕소(B), 비스(메틸아미니)붕소 유사 붕소(B), 트리포스폴 유사 인(P), 또는 황(S), 셀레늄(Se), 산소(O), 붕소(B), 또는 인(P)의 다른 전자 공여 형태로 치환될 수 있다. 이러한 치환체 중 일부는 다좌 방향족 리간드(104)의 π계의 일부인 고립 전자쌍을 가질 수 있다. 이들 중 일부는 다좌 방향족 리간드(104)의 π계의 일부가 아닌 고립 전자쌍을 가질 수 있다. 이들 중 일부는 π계의 하나의 고립 전자쌍 및 π계 외부의 다른 고립 전자쌍을 가질 수 있다. 피롤 유사 질소(1044) 또는 피리딘 유사 질소(1042)와 같이, 치환 황(S), 셀레늄(Se), 인(P), 붕소(B), 또는 산소(O)도 자리수를 제공할 수 있으며 브릿지 리간드(다좌 방향족 리간드(104))의 일부로서 역할을 한다. 본 개시내용은 피롤 유사 질소(1044) 및 피리딘 유사 질소(1042)를 상세히 기술하지만, 유사한 메커니즘 및 응용이 이러한 대안적 실시양태에 유사하게 적용될 수 있다.
도 3은 예시적 단일 고리 다좌 방향족 리간드(104)를 도시한다. 이러한 예시적 단일 고리 다좌 방향족 리간드(104)는 일반적으로 하나의 공액 구조(1040)만 존재하는 도 2a에 도시된 실시양태에 부합한다. 이러한 예는 피라졸, 이미다졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 및 테트라졸을 포함한다. 도 3에서 확인할 수 있듯이, 각각의 이러한 예는 π계를 갖는 공액 구조, 하나 이상의 피롤 유사 질소, 및 하나 이상의 피리딘 유사 질소를 포함한다. 명시적으로 도시되지는 않았지만, 도 3에 도시된 예는 수소 원자가 알킬기, 알케닐기, 또는 불소로 치환된 이들의 유도체로 확장될 수도 있다.
도 4는 예시적 다중 고리 다좌 방향족 리간드(104)를 도시한다. 이러한 예시적 단일 고리 다좌 방향족 리간드(104)는 일반적으로 공액 구조(1040) 및 연결된 공액 구조(1040')가 존재하는 도 2b에 도시된 실시양태와 부합한다. 이러한 예는 인다졸, 벤즈이미다졸, 7-아자인돌, 4-아자인돌, 피롤릴 피리딘, 또는 퓨린을 포함한다. 도 4에서 확인할 수 있듯이, 각각의 이러한 예는 π계를 갖는 공액 구조, 하나 이상의 피롤 유사 질소, 및 하나 이상의 피리딘 유사 질소를 포함한다. 명시적으로 도시되지는 않았지만, 도 4에 도시된 예는 수소 원자가 알킬기, 알케닐기, 또는 불소로 치환된 이들의 유도체로 확장될 수도 있다.
도 5는 예시적인 EUV로 절단 가능한 리간드(106)를 도시한다. 이러한 예는 알케닐기 또는 카르복실레이트기를 포함한다. 알케닐기의 기 R1, R2 및 R3은 수소, 불소, 또는 알킬기를 포함할 수 있다. 카르복실레이트기의 기 R4는 수소, 불소 또는 알킬기를 포함할 수 있다. 기 R1, R2, R3, 및 R4는 동일하거나 상이할 수 있다. 이러한 예시적인 EUV로 절단 가능한 리간드의 알킬기는 선형, 분지형 또는 고리형일 수 있으며 1개 내지 6개의 탄소 원자를 포함할 수 있다. 알케닐기 및 카르복실레이트기 모두 이중 결합을 포함하며, 이는 EUV선의 입사에 의해 절단되어 이러한 예시적인 EUV로 절단 가능한 리간드에 EUV 절단 특성을 부여한다.
도 6은 본 개시내용의 다양한 측면에 따른, 환원 반응이 진행되는 유기금속 전구체(100)를 도시한다. 설명의 편의를 위해, 4개의 유기금속 전구체(100)만이 도 6에 도시된다. EUV선의 입사시, 하나 이상의 EUV로 절단 가능한 리간드(L)는 각각의 4개의 유기금속 전구체(100)로부터 절단되어 금속 이온(102)에 대한 배위 부위를 제공한다. 또한, EUV선은 피롤 유사 질소의 질소-수소(N-H) 결합을 파괴하여 피롤 유사 질소가 수소를 잃고 피롤 유사 질소의 질소 부위(N 부위)가 활성화될 수 있다. EUV로 절단 가능한 리간드(L)(106) 및 수소는 결합되어 이탈기를 형성할 수 있다. 다좌 방향족 리간드(104)의 피롤 유사 질소의 활성화된 N 부위는 이탈된 EUV로 절단 가능한 리간드(106)에 의해 비워진 금속 이온(102)의 배위 부위에 배위결합할 수 있다. EUV로 절단 가능한 리간드(L)(106) 및 수소의 환원은 4개의 유기금속 전구체(100)의 가교결합으로 이어진다. 다좌 방향족 리간드(104) 중 일부는 2개의 금속 이온(102) 사이로 연장되어 브릿지 리간드로서 기능한다.
도 6의 환원 반응은 예시를 사용하여 추가로 설명된다. 도 7에 도시된 예에서, 유기금속 전구체(100)는 EUV로 절단 가능한 리간드(L)(106)에 배위결합된 금속 이온(102) 및 다좌 방향족 리간드(104)의 예로서의 이미다졸을 포함한다. 보다 구체적으로는, 이미다졸은 피롤 유사 질소(1044)를 통해 금속 이온(102)에 배위결합되고 피리딘 유사 질소(1042)는 배위결합하지 않은 상태로 남는다. EUV선(150)의 입사시, EUV로 절단 가능한 리간드(L)(106)는 EUV선(150)에 의해 발생된 라디칼에 의해 금속 이온(102)으로부터 절단되어, 금속 이온(102)의 비어있는 배위 부위를 남긴다. EUV선(150)은 또한 수소와 피롤 유사 질소(1044) 사이의 결합을 절단하며, 이로 인해 피롤 유사 질소(1044)를 활성화시킨다. EUV로 절단 가능한 리간드(L)(106) 및 절단된 수소는 이탈기(LH)를 형성할 수 있으며 활성화된 피롤 유사 질소(1044)는 비어있는 배위 부위와 배위결합할 수 있다. 결과적으로, 다좌 방향족 리간드(104)는 이의 2개의 자리수로 2개의 금속 이온(102)을 가교한다.
일반적으로 말하면, 포지티브 포토레지스트(또는 포지티브 톤 포토레지스트)는 광에 노출된 포토레지스트의 일부가 포토레지스트 현상액에 용해되는 포토레지스트의 유형이다. 포토레지스트의 노광되지 않은 부분은 포토레지스트 현상액에 가용성이지 않은 상태로 유지된다. 네거티브 포토레지스트(또는 네거티브 톤 포토레지스트)는 광에 노출된 포토레지스트의 일부가 포토레지스트 현상액에 용해되지 않는 포토레지스트의 유형이다. 포토레지스트의 노광되지 않은 부분은 포토레지스트 현상액에 용해된다. EUV 조사는 가교결합을 형성하여 현상액 중 유기금속 전구체(100)의 용해도를 감소시키기 때문에, 유기금속 전구체(100)는 EUV 리소그래피용 네거티브 포토레지스트의 활성 성분일 수 있다. 도 8은 본원에 기술된 유기금속 전구체(100)를 포함하는 네거티브 포토레지스트를 사용하여 워크피스 위에 재료층을 패터닝하는 방법(200)의 플로우차트를 도시한다. 방법(200)은 예시일 뿐이고 본 개시내용을 방법(200)에 명시적으로 예시된 것에 한정하려는 것이 아니다. 추가 단계가 방법(200) 전, 도중 및 후에 제공될 수 있으며, 기술된 일부 단계는 방법의 추가 실시양태를 위해 대체되거나, 제거되거나, 또는 이동될 수 있다. 단순함을 위해, 모든 단계가 본원에 상세히 기술되는 것은 아니다. 방법(200)은 방법(200)의 실시양태에 따른 제작의 다양한 단계에서의 워크피스(300)의 부분 단면도인 도 9 내지 도 15와 함께 이하에 기술된다. 또한, 본 출원 전반에 걸쳐, 달리 제외되지 않는 한, 같은 참조 번호는 같은 특징을 나타낸다.
도 8 및 도 9를 참조하면, 방법(200)은 워크피스(300)가 제공되는 블록(202)을 포함한다. 워크피스(300)는 기판(302) 및 기판(302) 위에 배치된 재료층(304)을 포함한다. 도 9에서 기판(302)은 점선으로 도시되며 단순화를 위해 도 10 내지 도 15에서는 생략됨을 유의한다. 기판(302)은 원소(단일 원소)반도체, 예컨대 규소(Si) 및/또는 게르마늄(Ge); 화합물 반도체, 예컨대 규소 카바이드(SiC), 갈륨 아르세나이드(GaAs), 갈륨 포스파이드(GaP), 인듐 포스파이드(InP), 인듐 아르세나이드(InAs), 및/또는 인듐 안티모나이드(InSb); 합금 반도체, 예컨대 규소 게르마늄(SiGe), 갈륨 비소 포스파이드(GaAsP), 알루미늄 인듐 아르세나이드(AlInAs), 알루미늄 갈륨 아르세나이드(AlGaAs), 갈륨 인듐 아르세나이드(GaInAs), 갈륨 인듐 포스파이드(GaInP), 및/또는 갈륨 인듐 비소 포스파이드(GaInAsP); 비반도체 재료, 예컨대 소다 석회 유리, 용융 실리카, 용융 석영, 및/또는 칼슘 플루오라이드(CaF2); 및/또는 이들의 조합을 포함할 수 있다. 일부 다른 실시양태에서, 기판(302)은 균일한 조성을 갖는 단층 재료일 수 있고; 대안으로, 기판(302)은 IC 디바이스 제조에 적합한 유사한 또는 상이한 조성을 갖는 복수의 재료층을 포함할 수 있다. 한 가지 예에서, 기판(302)은 산화규소층 위에 형성된 반도체 규소층을 갖는 절연체상 규소(SOI) 기판일 수 있다. 기판(302)은 예를 들어 전계효과 트랜지스터(FET), 산화금속 반도체 전계효과 트랜지스터(MOSFET), CMOS 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, 양극성 접합 트랜지스터, 다이오드, 레지스터, 캐패시터, 인덕터, 버랙터, 다른 적합한 디바이스, 및/또는 이들의 조합을 포함하는, 기판(302) 상에 형성되는 다양한 회로 특징을 포함할 수 있다.
기판(302) 위의 재료층(304)은 포토레지스트층(306)(이하에 기술됨)이 성막되는 최상층을 나타낸다. 다시 말해, 일부 경우에, 재료층(304)은 아래에 있는 하나 이상의 층을 따라 패터닝된다. 일부 실시양태에서, 재료층(304)은 하드마스크층, 바닥 반사 방지 코팅(BARC), 또는 절연층 역할을 하는 유전체층일 수 있다. 이러한 실시양태에서, 재료층(304)은 규소 옥사이드, 규소 니트라이드, 규소 옥시니트라이드, 규소 카보니트라이드, 규소 옥시카보니트라이드, 금속 산화물, 규소 카바이드, 또는 규소 옥시카바이드를 포함할 수 있다. 예시적 금속 산화물은 티타늄 옥사이드(TiO2), 하프늄 지르코늄 옥사이드(HfZrO), 탄탈룸 옥사이드(Ta2O5), 하프늄 규소 옥사이드(HfSiO4), 지르코늄 옥사이드(ZrO2), 지르코늄 규소 옥사이드(ZrSiO2), 란타눔 옥사이드(La2O3), 알루미늄 옥사이드(Al2O3), 지르코늄 옥사이드(ZrO), 이트륨 옥사이드(Y2O3), SrTiO3(STO), BaTiO3(BTO), BaZrO, 하프늄 란타눔 옥사이드(HfLaO), 란타눔 규소 옥사이드(LaSiO), 알루미늄 규소 옥사이드(AlSiO), 하프늄 탄탈룸 옥사이드(HfTaO), 하프늄 티타늄 옥사이드(HfTiO), 또는 (Ba,Sr)TiO3(BST)와 같은 고 유전상수(high-k) 유전체 재료를 포함할 수 있다. 일부 다른 실시양태에서, 재료층(304)은 규소(Si), 게르마늄(Ge), 갈륨 아르세나이드(GaAs), 갈륨 포스파이드(GaP), 갈륨 니트라이드(GaN), 또는 규소 게르마늄(SiGe)과 같은 반도체 재료를 포함할 수 있다. 또 다른 실시양태에서, 재료층(304)은 중합체층, 예컨대 폴리이미드층 또는 중합체 BARC층을 포함할 수 있다. 또 다른 실시양태에서, 재료층(304)은 전도성 재료, 예컨대 티타늄 니트라이드(TiN), 티타늄 알루미늄(TiAl), 티타늄 알루미늄 니트라이드(TiAlN), 탄탈룸 니트라이드(TaN), 탄탈룸 알루미늄(TaAl), 탄탈룸 알루미늄 니트라이드(TaAlN), 탄탈룸 알루미늄 카바이드(TaAlC), 탄탈룸 카보니트라이드(TaCN), 알루미늄(Al), 텅스텐(W), 니켈(Ni), 티타늄(Ti), 루테늄(Ru), 코발트(Co), 백금(Pt), 탄탈룸 카바이드(TaC), 탄탈룸 규소 니트라이드(TaSiN), 또는 구리(Cu)를 포함할 수 있다. 재료층(304)이 히드록실기 또는 아민기와 같은 표면 작용기를 포함하는 경우, 재료층(304)은 이후 성막되는 포토레지스트층(306)과 우수한 접착을 형성할 수 있는데, 포토레지스트층(306)은 유기금속 전구체(100)를 포함하기 때문이다.
재료층(304)이 포토레지스트층(306)(이하에 기술됨)의 조기 가교결합을 촉매할 수 있는 일부 실시양태에서, 블록(202)에서, 포토레지스트층을 성막하기 전에 매우 얇은 규소 옥사이드층 또는 매우 얇은 중합체층이 보호 캡(또는 캐핑층)으로서 재료층(304) 위에 성막될 수 있다.
도 8 및 도 10을 참조하면, 방법(200)은 포토레지스트층(306)이 재료층(304) 위에 성막되는 블록(204)을 포함한다. 포토레지스트층(306)은 상기 유기금속 전구체(100)를 포함하며 다른 첨가제 또는 계면활성제를 포함할 수 있다. 포토레지스트층(306)은 네거티브 포토레지스트층일 수 있다. 일부 실시양태에서, 포토레지스트층(306)은 스핀온 코팅, 화학 기상 증착(CVD), 또는 원자층 증착(ALD)을 사용하여 성막될 수 있다. 포토레지스트층(306)이 스핀온 코팅을 사용하여 성막되는 경우, 유기금속 전구체(100)는 첨가제 및 계면활성제와 함께 분산액 또는 용액에 용해 또는 분산될 수 있으며 이어서 재료층(304) 위에 코팅될 수 있다. 도 16을 간략히 참조하면, 포토레지스트층(306)의 유기금속 전구체(100)는 재료층(304)의 작용기 또는 댕글링(dangling) 결합에 배위결합될 수 있는 하나 이상의 불포화 배위 부위(1046)(일반적으로 약 1개 내지 2개의 불포화 배위 부위)를 포함하기 때문에, HDMS 전처리와 같은 재료층(304)에 대한 임의의 표면 처리 또는 변형 없이 포토레지스트층(306)은 재료층(304)에 잘 접착될 수 있다. 예로서, 도 16은 포토레지스트층(306)의 유기금속 전구체(100)가 재료층(304)의 히드록실기에 배위결합될 수 있음을 도시한다.
포토레지스트층(306)이 ALD 또는 CVD를 사용하여 성막되는 경우, 유기금속 전구체(100)에 대한 가스 전구체는 재료층(304)으로 향할 수 있으며, 여기에서 가스 전구체는 서로 반응하고 재료층(304)과 반응하여 포토레지스트층(306)을 형성한다. 일부 경우에, 가스 전구체는 제1 가스 전구체 및 제2 가스 전구체를 포함할 수 있다. 제1 가스 전구체는 할로겐화된 EUV로 절단 가능한 리간드(106), 예컨대 알켄 할라이드를 포함할 수 있다. 제2 가스 전구체는 다좌 방향족 리간드(104) 및 할라이드와 배위결합된 금속 이온(102)을 포함할 수 있다. 할로겐 성분은 가스 전구체가 가스 형태로 존재할 수 있게 한다. CVD 또는 ALD 공정 중에, 재료층(304)은 가열될 수 있고 제1 가스 전구체 및 제2 가스 전구체가 가열된 재료층(304)과 접촉할 때 할로겐 성분이 제거될 수 있다. 다시 말해, ALD 또는 CVD를 사용하여 포토레지스트층(306)을 성막하는 경우, 포토레지스트층(306)은 증기형 유기금속 전구체(MaXbVc, 여기에서 V는 할라이드 또는 할로겐 함유 기와 같은 휘발성 기)와 증기형 EUV로 절단 가능한 리간드(L)를 혼합하는 화학 반응에 의해 형성되어 유기금속 전구체(100)(MaXbLc)를 형성하고 이어서 재료층(304)의 표면 위에 성막될 수 있다.
도 8 및 도 11을 참조하면, 방법(200)은 노광 전 처리 공정(400)이 수행되는 블록(206)을 포함한다. 노광 전 처리 공정(400)은 적용 후 처리 공정(400)으로 지칭될 수도 있다. 노광 전 처리 공정(400)은 바람직하지 않은 종의 탈기를 용이하게 하거나 포토레지스트층(306)의 과잉 수분을 제거한다. 포토레지스트층(306)을 성막하는 데 가스 전구체를 사용하는 경우, 바람직하지 않은 종은 CVD 또는 ALD 공정 중의 부산물 또는 이탈기를 포함할 수 있다. 그 예는 할라이드 함유 종을 포함할 수 있다. 제거되는 종의 특성에 따라, 노광 전 처리 공정(400)은 베이크 공정, 적외선 경화 공정, 자외선(UV) 경화 공정, 또는 가시광 경화 공정을 포함할 수 있다. 바람직하지 않은 종이 중화되어야 하는 일부 대안적 실시양태에서, 노광 전 처리 공정은 포토레지스트층(306)의 표면을 실란(SiH4)과 같은 반응성 가스로 변형하는 단계를 포함할 수 있다. 노광 전 처리 공정(400)이 베이크 공정을 포함하는 경우, 베이크 온도는 약 60℃ 내지 약 170℃일 수 있다.
도 8 및 도 12를 참조하면, 방법(200)은 포토레지스트층(306)이 방사선 패턴에 노광되는 블록(208)을 포함한다. 블록(208)의 노광은 도 12에 개략적으로 도시된 리소그래피 시스템(500)을 사용하여 수행될 수 있다. 리소그래피 시스템(500)은, 각각의 방사선원을 사용한 노광을 포함하는 리소그래피 공정을 특정 노광 모드에서 수행하도록 작동될 수 있는 스캐너로 일반적으로 지칭될 수도 있다. 본 개시내용의 실시양태 중 적어도 일부에서, 리소그래피 시스템(500)은 EUV선에 의해 포토레지스트층, 예컨대 포토레지스트층(306)을 노광하도록 설계된 극자외선(EUV) 리소그래피 시스템을 포함한다. 도 12의 리소그래피 시스템(500)은 EUV원(502), 일루미네이터(504), 마스크(508)를 수용하도록 구성된 마스크 스테이지(506), 투사 광학장치(510), 및 워크피스, 예컨대 워크피스(300)를 수용하도록 구성된 기판 스테이지(514)와 같은 복수의 서브시스템을 포함한다. 리소그래피 시스템(500)의 작동의 일반적 설명은 다음과 같이 주어질 수 있다: EUV원(502)으로부터의 EUV선이 일루미네이터(504)(반사 미러 세트를 포함함)를 향하고 반사 마스크(508) 상에 투사된다. 반사된 마스크 이미지는 투사 광학장치(510)를 향하며, 투사 광학장치(510)는 EUV광을 모으고 EUV광을 워크피스(300) 상에 투사하여 그 위에 성막된 EUV 레지스트층을 노광시킨다. 또한, 다양한 예에서, 리소그래피 시스템(500)의 각각의 서브시스템은 고진공 환경에 수용되고 고진공 환경 내에서 작동하여 EUV광의 대기 흡수를 감소시킬 수 있다.
본원에 기술된 실시양태에서, EUV원(502)은 EUV선을 발생시키는 데 사용될 수 있다. 일부 실시양태에서, EUV원(502)은 플라즈마원, 예를 들어 방전 생성 플라즈마(DPP) 또는 레이저 생성 플라즈마(LPP)를 포함한다. 일부 예에서, EUV선은 약 13.5 nm에 중심이 있는 파장을 갖는 방사선을 포함할 수 있다. 일부 실시양태에서, EUV원(502)은 또한 플라즈마원으로부터 발생된 EUV선을 수집하고 EUV선을 일루미네이터(504)와 같은 이미지 광학장치로 향하게 하는 데 사용될 수 있는 콜렉터를 포함한다. 상기와 같이, EUV원(502)으로부터의 EUV선은 일루미네이터(504)를 향한다. 일부 실시양태에서, EUV원(502)으로부터의 방사선을 마스크 스테이지(506), 특히 마스크 스테이지(506) 상에 고정된 마스크(508)로 향하게 하기 위해, 일루미네이터(504)는 반사 광학장치, 예컨대 단일 미러 또는 다중 미러를 갖는 미러 시스템을 포함할 수 있다. 일부 예에서, 일루미네이터(504)는 예를 들어 EUV선의 초점을 개선하기 위한 존 플레이트(zone plate)를 포함할 수 있다. 일부 실시양태에서, 일루미네이터(504)는, 예를 들어 쌍극 형상, 사중극 형상, 고리 형상, 단일 빔 형상, 다중 빔 형상, 및/또는 이들의 조합을 포함하는 특정 동공 형상에 따라 통과하는 EUV선을 형성하도록 구성될 수 있다. 일부 실시양태에서, 일루미네이터(504)는 미러(즉, 일루미네이터(504)의 미러)를 구성하도록 작동 가능하여 마스크(508)에 원하는 조명을 제공한다. 한 가지 예에서, 일루미네이터(504)의 미러는 EUV선을 상이한 조명 위치로 반사하도록 구성될 수 있다. 일부 실시양태에서, 일루미네이터(504) 전의 스테이지는 EUV선을 일루미네이터(504)의 미러 내의 상이한 조명 위치로 향하게 하는 데 사용될 수 있는 다른 구성하능한 미러를 추가로 포함할 수 있다. 일부 실시양태에서, 일루미네이터(504)는 마스크(508)에 축상 조명(ONI)을 제공하도록 구성된다. 일부 실시양태에서, 일루미네이터(504)는 마스크(508)에 사입사 조명(OAI)을 제공하도록 구성된다. EUV 리소그래피 시스템(500)에서 이용되는 광학장치, 특히 일루미네이터(504) 및 투사 광학장치(510)에 사용되는 광학장치는 브래그(Bragg) 반사기로 알려진 다층 박막 코팅을 갖는 미러를 포함할 수 있다는 것을 유의해야 한다. 예를 들어, 이러한 다층 박막 코팅은 Mo와 Si의 교대층을 포함할 수 있으며, 이는 EUV 파장(예를 들어, 약 13 nm)에서 높은 반사도를 제공한다.
앞서 고찰된 바와 같이, 리소그래피 시스템(500)은 또한 마스크(508)를 고정하도록 구성된 마스크 스테이지(506)를 포함한다. 리소그래피 시스템(500)이 고진공 환경에 수용될 수 있고 고진공 환경 내에서 작동할 수 있기 때문에, 마스크 스테이지(506)는 마스크(508)를 고정하는 정전 척(e-척)을 포함할 수 있다. EUV 리소그래피 시스템(500)의 광학장치와 마찬가지로, 마스크(508)도 반사성이 있다. 도 12의 예에 도시된 바와 같이, 방사선은 마스크(508)로부터 반사되고 투사 광학장치(510)로 향하며, 이는 마스크(508)로부터 반사된 EUV선을 수집한다. 예를 들어, (마스크(508)로부터 반사되고) 투사 광학장치(510)에 의해 수집된 EUV선은 마스크(508)에 의해 정해진 패턴의 이미지를 전달한다. 다양한 실시양태에서, 투사 광학장치(510)는 리소그래피 시스템(500)의 기판 스테이지(514) 상에 고정된 워크피스(300) 상에 마스크(508) 패턴의 이미지화를 제공한다. 특히, 다양한 실시양태에서, 투사 광학장치(510)는 수집된 EUV광을 모으고 EUV광을 워크피스(300) 상에 투사하여 워크피스(300) 위의 포토레지스트층(306)을 노광시킨다. 상기와 같이, 리소그래피 시스템(500)과 같은 EUV 리소그래피 시스템에서 사용되는 바와 같이, 투사 광학장치(510)는 반사 광학장치를 포함할 수 있다. 일부 실시양태에서, 일루미네이터(504) 및 투사 광학장치(510)는 일괄적으로 리소그래피 시스템(500)의 광학 모듈로 지칭된다.
일부 실시양태에서, 리소그래피 시스템(500)은 또한 광이 투사 동공 평면을 따라 위상 분포를 갖도록 마스크(508)로부터 지향된 EUV선의 광학 위상을 변조하는 동공 위상 변조기(512)를 포함한다. 일부 실시양태에서, 동공 위상 변조기(512)는 위상 변조를 위해 투사 광학장치(510)의 반사 미러를 조정하는 메커니즘을 포함한다. 예를 들어, 일부 실시양태에서, 투사 광학장치(510)의 미러는 동공 위상 변조기(512)를 통해 EUV광을 반사하도록 구성되어, 투사 광학장치(510)를 통해 광의 위상을 변조한다. 일부 실시양태에서, 동공 위상 변조기(512)는 투사 동공 평면 상에 위치한 동공 필터를 이용한다. 예를 들어, 동공 필터를 이용하여 마스크(508)로부터 반사된 EUV선의 특정 공간 주파수 성분을 필터링할 수 있다. 일부 실시양태에서, 동공 필터는 투사 광학장치(510)를 통해 지향된 광의 위상 분포를 변조하는 위상 동공 필터로서 역할을 할 수 있다.
도 12에 도시된 바와 같이, 리소그래피 시스템(500)을 사용하여, 포토레지스트층(306)의 노광된 부분(310)은 EUV선에 노광되는 반면 노광되지 않은 부분(308)은 노광되지 않은 상태로 유지된다. 포토레지스트층(306)의 노광된 부분(310)의 유기금속 전구체(100)는 가교결합된다. 보다 구체적으로, 도 1 및 도 2를 참조하면, 리소그래피 시스템(500)으로부터의 EUV선은 라디칼을 발생시킨다. 라디칼은 금속 이온(102)으로부터 EUV로 절단 가능한 리간드(106)를 절단하고 피롤 유사 질소(1044)로부터 수소를 절단한다. 피롤 유사 질소(1044)는 활성화되고 비배위 부위를 갖는 다른 금속 이온과 배위결합한다. 다좌 방향족 리간드(104)는 브릿지 리간드로서 역할을 하여 가교결합을 형성한다. EUV로 생성된 라디칼을 사용하면, 동일한 가교결합이 노광되지 않은 부분(308)에서는 일어나지 않는다.
도 8 및 도 13을 참조하면, 방법(200)은 노광 후 베이크 공정(600)이 수행되는 블록(210)을 포함한다. 일부 구현예에서, 노광 후 베이크 공정(600)의 베이크 온도 또는 베이크 온도 프로파일은 블록(208)에서의 EUV 노광 공정 동안 생성된 이탈기의 제거를 보장하도록 선택된다. 이러한 이탈기는 상기 이탈기(LH)에 해당한다. 노광 후 베이크 공정(600)의 베이크 온도는 약 50℃ 내지 약 150℃일 수 있다.
도 8 및 도 14를 참조하면, 방법(200)은 노광된 포토레지스트층(306)이 현상되어 패터닝된 포토레지스트층(312)을 형성하는 블록(212)을 포함한다. 블록(212)에서, 현상 용액을 사용하여, 블록(208)에서 가교결합되지 않은 노광되지 않은 부분(308)을 제거한다. 현상 용액은 노광되지 않은 부분(308)(가교결합되지 않음)을 선택적으로 용해시키고 제거하는 반면 포토레지스트층(306)의 노광된 부분(310)(가교결합됨)이 실질적으로 온전하게 유지되는 데 적합하도록 선택된다. 적합한 현상 용액은 n-부틸 아세테이트, 에탄올, 헥산, 벤젠, 톨루엔, 물, 이소프로필 알코올(IPA), 또는 2-헵탄온과 같은 용매를 포함할 수 있다. 일부 실시양태에서, 블록(212)은 임의의 잔여 포토레지스트층(306) 또는 잔해를 제거하는 하나 이상의 디스컴(descum) 또는 린싱 공정을 포함할 수도 있다. 블록(212)에서의 작동이 끝나면, 패터닝된 포토레지스트층(312)이 형성된다. 노광되지 않은 부분(308)의 제거로 인해, 패터닝된 포토레지스트층(312)은 개구부(314)를 포함하고 재료층(304)은 개구부(314)에서 노광된다.
도 8 및 도 15를 참조하면, 방법(200)은 에칭 마스크로서 패터닝된 포토레지스트층(314)을 사용하여 재료층(304)을 에칭하는 블록(214)을 포함한다. 일부 실시양태에서, 에칭 마스크로서 패터닝된 포토레지스트층(312)을 사용하여, 건조 에칭 공정(700), 예컨대 반응성 이온 에칭(RIE) 공정으로 재료층(304)을 에칭한다. 일부 예에서, 건조 에칭 공정(700)은 불소 함유 에칭용 가스(예를 들어, NF3, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 산소 함유 가스(예를 들어, O2), 염소 함유 가스(예를 들어, Cl2, CHCl3, CCl4, SiCl4, 및/또는 BCl3), 질소 함유 가스(예를 들어, N2), 브롬 함유 가스(예를 들어, HBr 및/또는 CHBr3), 요오드 함유 가스, 다른 적합한 가스 및/또는 플라즈마, 또는 이들의 조합을 포함하는 에칭용 가스를 사용하여 구현될 수 있다. 도 15에 나타낸 일부 실시양태에서, 건조 에칭 공정(700)은 재료층(304)에 리세스(316)를 형성한다. 리세스(316)는 재료층(304) 사이로 연장되지 않는 것으로 도시되었지만, 대안적인 실시양태에서는 재료층(304) 사이로 연장될 수 있다.
한정하려는 의도는 없지만, 본 개시내용의 하나 이상의 실시양태는 반도체 디바이스 및 이의 형성 공정에 대한 이점을 제공한다. 예를 들어, 본 개시내용은 네거티브 톤 포토레지스트의 유기금속 전구체를 제공한다. 본 개시내용의 유기금속 전구체는 복수의 다좌 방향족 리간드 및 복수의 EUV로 절단 가능한 리간드에 배위결합된 금속 이온을 포함한다. 다좌 방향족 리간드는 공액 구조, 피롤 유사 질소, 및 피리딘 유사 질소를 포함한다. EUV로 절단 가능한 리간드는 알케닐기 또는 카르복실레이트기를 포함한다. 각각의 다좌 방향족 리간드는 피롤 유사 질소를 통해 금속 이온에 배위결합된다. 유기금속 전구체가 EUV선으로 조사되는 경우, 다좌 방향족 리간드의 피롤 유사 질소 원자는 활성화되고 EUV로 절단 가능한 리간드는 금속 이온으로부터 절단된다. 활성화된 피롤 유사 질소는 EUV로 절단 가능한 리간드의 절단으로 인한 비어있는 배위 부위에서 다른 금속 이온과 배위결합될 수 있다. 금속 이온은 고 원자 흡수 단면을 가지며, 이는 이용가능한 배위 부위가 다양한 표면 작용기에 결합할 수 있게 한다. 가교결합 방식 및 가교결합 정도는 EUV로 절단 가능한 리간드 대 다좌 방향족 리간드의 화학양론비를 제어함으로써 잘 제어될 수 있다.
하나의 예시적 측면에서, 본 개시내용은 유기금속 전구체에 관한 것이다. 유기금속 전구체는 MaXbLc의 화학식을 포함하며, 상기 화학식에서 M은 금속이고, X는 피롤 유사 질소 및 피리딘 유사 질소를 포함하는 다좌 방향족 리간드이고, L은 극자외선(EUV)으로 절단 가능한 리간드이고, a는 1 내지 2이고, b는 1 이상이고, c는 1 이상이다.
일부 실시양태에서, b와 c의 합은 5 미만이다. 일부 실시양태에서, 다좌 방향족 리간드는 하나 이상의 π 공액계를 포함하고, 피롤 유사 질소는 하나 이상의 π 공액계 중 하나의 일부인 고립 전자쌍을 포함하며, 피리딘 유사 질소는 하나 이상의 π 공액계 중 어느 것의 일부도 아닌 고립 전자쌍을 포함한다. 일부 경우에서, 그목은 고 원자 흡수 단면을 갖는다. 일부 구현예에서, 금속은 주석(Sn), 비스무트(Bi), 안티몬(Sb), 인듐(In), 및 텔루륨(Te)으로 이루어진 군으로부터 선택된다. 일부 실시양태에서, 다좌 방향족 리간드는 5원 방향족 고리를 포함한다. 일부 경우에서, 다좌 방향족 리간드는 5원 방향족 고리에 융합되거나 연결된 6원 방향족 고리를 추가로 포함한다. 일부 경우에서, 다좌 방향족 리간드는 피라졸, 이미다졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 테트라졸, 인다졸, 벤즈이미다졸, 7-아자인돌, 4-아자인돌, 피롤릴 피리딘, 또는 퓨린을 포함한다. 일부 실시양태에서, EUV로 절단 가능한 리간드는 알케닐기 또는 카르복실레이트기를 포함한다.
다른 예시적 측면에서, 본 개시내용은 극자외선(EUV) 포토레지스트 전구체에 관한 것이다. 극자외선(EUV) 포토레지스트 전구체는 금속 이온, 금속 이온에 배위결합된 EUV로 절단 가능한 리간드, 및 금속 이온에 배위결합된 다좌 리간드를 포함한다. 다좌 리간드는 하나 이상의 π 공액계, 제1 고립 전자쌍을 포함하는 제1 질소, 및 제2 고립 전자쌍을 포함하는 제2 질소를 포함한다. 제1 고립 전자쌍은 하나 이상의 π 공액계 중 하나의 일부이고 제2 고립 전자쌍은 하나 이상의 π 공액계 중 어느 것에도 포함되지 않는다.
일부 실시양태에서, 제1 질소는 피롤 유사 질소이고 제2 질소는 피리딘 유사 질소이다. 일부 실시양태에서, 금속 이온은 고 원자 흡수 단면을 갖는다. 일부 경우에서, 금속 이온은 주석(Sn) 이온, 비스무트(Bi) 이온, 안티몬(Sb) 이온, 인듐(In) 이온, 및 텔루륨(Te) 이온으로 이루어진 군으로부터 선택된다. 일부 구현예에서, 다좌 리간드는 5원 방향족 고리를 포함한다. 일부 경우에서, EUV로 절단 가능한 리간드는 알케닐기 또는 카르복실레이트기를 포함한다.
또 다른 예시적 측면에서, 본 개시내용은 방법에 관한 것이다. 상기 방법은 재료층 바로 위에 포토레지스트층을 성막하는 단계를 포함하며, 여기에서 포토레지스트층은 금속 이온, 금속 이온에 배위결합된 극자외선(EUV)으로 절단 가능한 리간드, 및 금속 이온에 배위결합된 방향족 리간드를 포함하고, 방향족 리간드는 피롤 유사 질소 및 피리딘 유사 질소를 포함한다. 상기 방법은 포토레지스트층의 일부를 EUV선에 노광시켜 금속 이온의 배위 부위로부터 EUV로 절단 가능한 리간드를 절단하고, 피롤 유사 질소를 활성화시키고, 활성화된 피롤 유사 질소를 배위 부위에 배위결합시키는 단계를 추가로 포함한다.
일부 실시양태에서, 상기 방법은 노광 후 포토레지스트층을 베이크하여 포토레지스트층의 일부를 가교결합시키는 단계를 추가로 포함할 수 있다. 일부 구현예에서, 재료층은 유전체층, 도전층, 중합체층, 또는 반도체층을 포함한다. 일부 실시양태에서, 포토레지스트층을 성막하는 단계는 스핀온 코팅, 화학 기상 증착(CVD), 또는 원자층 증착(ALD)의 사용을 포함한다. 일부 실시양태에서, 포토레지스트층을 성막하는 단계는 가스 전구체의 사용을 포함한다.
전술한 내용은 당업자가 본 개시내용의 측면을 더 잘 이해할 수 있도록 여러 실시양태의 특징을 개략적으로 설명한다. 당업자는 본원에 도입된 동일한 목적을 수행하고/하거나 본원에 도입된 실시양태의 동일한 이점을 달성하기 위하여, 다른 공정 및 구조를 설계하거나 수정하기 위한 기초로서 본 개시내용을 쉽게 사용할 수 있음을 인식해야 한다. 당업자는 또한 이러한 균등한 구성이 본 개시내용의 사상 및 범위를 벗어나지 않으며, 본 개시내용의 사상 및 범위를 벗어나지 않고 본원에서 다양한 변화, 대체, 및 변경을 이룰 수 있다는 것을 인식해야 한다.

Claims (10)

  1. MaXbLc의 화학식을 포함하는 유기금속 전구체로서,
    상기 화학식에서 M은 금속이고,
    X는 피롤 유사 질소 및 피리딘 유사 질소를 포함하는 다좌 방향족 리간드이고,
    L은 극자외선(EUV)으로 절단 가능한 리간드이고,
    a는 1 내지 2이고,
    b는 1 이상이고,
    c는 1 이상인 유기금속 전구체.
  2. 제1항에 있어서, b와 c의 합은 5 미만인 것인 유기금속 전구체.
  3. 제1항에 있어서,
    다좌 방향족 리간드는 하나 이상의 π 공액계를 포함하고,
    피롤 유사 질소는 상기 하나 이상의 π 공액계 중 하나의 일부인 고립 전자쌍을 포함하고,
    피리딘 유사 질소는 상기 하나 이상의 π 공액계 중 어느 것의 일부도 아닌 고립 전자쌍을 포함하는 것인 유기금속 전구체.
  4. 제1항에 있어서, 금속은 고 원자 흡수 단면을 갖는 것인 유기금속 전구체.
  5. 제1항에 있어서, 금속은 주석(Sn), 비스무트(Bi), 안티몬(Sb), 인듐(In), 및 텔루륨(Te)으로 이루어진 군으로부터 선택되는 것인 유기금속 전구체.
  6. 제1항에 있어서, 다좌 방향족 리간드는 5원 방향족 고리를 포함하는 것인 유기금속 전구체.
  7. 제1항에 있어서, 다좌 방향족 리간드는 피라졸, 이미다졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 테트라졸, 인다졸, 벤즈이미다졸, 7-아자인돌, 4-아자인돌, 피롤릴 피리딘, 또는 퓨린을 포함하는 것인 유기금속 전구체.
  8. 제1항에 있어서, EUV로 절단 가능한 리간드는 알케닐기 또는 카르복실레이트기를 포함하는 것인 유기금속 전구체.
  9. 금속 이온;
    상기 금속 이온에 배위결합된 EUV로 절단 가능한 리간드; 및
    하나 이상의 π 공액계,
    제1 고립 전자쌍을 포함하는 제1 질소, 및
    제2 고립 전자쌍을 포함하는 제2 질소
    를 포함하는, 상기 금속 이온에 배위결합된 다좌 리간드
    를 포함하는 극자외선(EUV) 포토레지스트 전구체로서,
    상기 제1 고립 전자쌍은 상기 하나 이상의 π 공액계 중 하나의 일부이고 상기 제2 고립 전자쌍은 상기 하나 이상의 π 공액계 중 어느 것에도 포함되지 않는 것인 극자외선(EUV) 포토레지스트 전구체.
  10. 포토레지스트층을 재료층 바로 위에 성막하는 단계로서,
    상기 포토레지스트층은
    금속 이온,
    상기 금속 이온에 배위결합된 극자외선(EUV)으로 절단 가능한 리간드, 및
    피롤 유사 질소 및 피리딘 유사 질소를 포함하는, 상기 금속 이온에 배위결합된 방향족 리간드
    를 포함하는 전구체를 포함하는 것인 단계; 및
    상기 포토레지스트층의 일부를 EUV선에 노광하여:
    상기 금속 이온의 배위 부위로부터 상기 EUV로 절단 가능한 리간드를 절단하고,
    상기 피롤 유사 질소를 활성화시키고,
    상기 활성화된 피롤 유사 질소를 상기 배위 부위에 배위결합시키는 단계
    를 포함하는 방법.
KR1020210129622A 2020-09-30 2021-09-30 반도체 제작용 포토레지스트 KR102596641B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085364P 2020-09-30 2020-09-30
US63/085,364 2020-09-30
US17/177,837 2021-02-17
US17/177,837 US20220100087A1 (en) 2020-09-30 2021-02-17 Photoresist for semiconductor fabrication

Publications (2)

Publication Number Publication Date
KR20220044154A KR20220044154A (ko) 2022-04-06
KR102596641B1 true KR102596641B1 (ko) 2023-10-31

Family

ID=79327381

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210129622A KR102596641B1 (ko) 2020-09-30 2021-09-30 반도체 제작용 포토레지스트

Country Status (5)

Country Link
US (1) US20220100087A1 (ko)
KR (1) KR102596641B1 (ko)
CN (1) CN113946096A (ko)
DE (1) DE102021104063A1 (ko)
TW (1) TWI806049B (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140099573A1 (en) 2012-10-05 2014-04-10 California Institute Of Technology Photoinitiated olefin methathesis polymerization
WO2015065649A1 (en) 2013-10-30 2015-05-07 California Institute Of Technology Direct photopatterning of robust and diverse materials
US20180067393A1 (en) 2016-09-02 2018-03-08 California Institute Of Technology Photoactive catalyst compositions

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140023717A (ko) * 2012-08-17 2014-02-27 주식회사 엘지화학 광경화성 및 열경화성을 갖는 수지 조성물과 및 이를 사용하여 제조된 드라이 필름 솔더 레지스트
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
CN107548473A (zh) * 2015-04-22 2018-01-05 亚历克斯·菲利普·格雷厄姆·罗宾逊 灵敏度增强的光致抗蚀剂
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US11287740B2 (en) * 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140099573A1 (en) 2012-10-05 2014-04-10 California Institute Of Technology Photoinitiated olefin methathesis polymerization
WO2015065649A1 (en) 2013-10-30 2015-05-07 California Institute Of Technology Direct photopatterning of robust and diverse materials
US20180067393A1 (en) 2016-09-02 2018-03-08 California Institute Of Technology Photoactive catalyst compositions

Also Published As

Publication number Publication date
CN113946096A (zh) 2022-01-18
KR20220044154A (ko) 2022-04-06
TW202214661A (zh) 2022-04-16
DE102021104063A1 (de) 2022-03-31
US20220100087A1 (en) 2022-03-31
TWI806049B (zh) 2023-06-21

Similar Documents

Publication Publication Date Title
US11487207B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US20190094685A1 (en) Vacuum-integrated hardmask processes and apparatus
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102207228B1 (ko) 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
KR102405489B1 (ko) 반도체 디바이스 제조 방법
US20230185196A1 (en) Pre-exposure photoresist curing to enhance euv lithographic performance
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
CN108931892A (zh) 光刻方法
KR102596641B1 (ko) 반도체 제작용 포토레지스트
KR102603017B1 (ko) 반도체 제작용 포토레지스트
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI843085B (zh) 光阻劑、半導體裝置之製造方法及極紫外線微影術方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
US20230375920A1 (en) Method of manufacturing a semiconductor device
US20220028684A1 (en) Photoresist layer outgassing prevention
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
CN116224715A (zh) 光阻剂、半导体装置的制造方法及极紫外线微影术方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant