CN113946096A - 用于半导体制造的光致抗蚀剂 - Google Patents

用于半导体制造的光致抗蚀剂 Download PDF

Info

Publication number
CN113946096A
CN113946096A CN202110629605.7A CN202110629605A CN113946096A CN 113946096 A CN113946096 A CN 113946096A CN 202110629605 A CN202110629605 A CN 202110629605A CN 113946096 A CN113946096 A CN 113946096A
Authority
CN
China
Prior art keywords
nitrogen
euv
ligand
metal ion
pyrrole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110629605.7A
Other languages
English (en)
Inventor
刘之诚
郭怡辰
陈彦儒
李志鸿
杨棋铭
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113946096A publication Critical patent/CN113946096A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/94Bismuth compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本申请涉及用于半导体制造的光致抗蚀剂。提供了用于极紫外(EUV)光刻的有机金属前体。所述有机金属前体包括MaXbLc的化学式,其中M是金属,X是包括吡咯样氮和吡啶样氮的多齿芳族配体,L是极紫外(EUV)可裂解的配体,a在1和2之间,b等于或大于1,c等于或大于1。

Description

用于半导体制造的光致抗蚀剂
优先权数据
本申请要求于2020年9月30日提交的美国临时专利申请序列号63/085,364的优先权,其全部公开内容通过引用并入本文。
技术领域
本发明涉及用于半导体制造的光致抗蚀剂。
背景技术
半导体集成电路(IC)行业已经历指数增长。IC材料和设计的技术进步已经产生几代IC,其中每一代都比前一代具有更小、更复杂的电路。在IC发展的过程中,功能密度(即,每个芯片区域的互连器件的数量)通常增加,而几何尺寸(即,可以使用制造过程产生的最小部件(或线))减小。这种按比例缩小的过程通常通过提高生产效率和降低相关成本来提供收益。这种按比例缩小还增加加工和制造IC的复杂性,并且要实现这些进步,需要在IC加工和制造中进行类似的发展。
在一个示例性方面,光刻是一种用于在半导体微制造中以选择性除去材料层的一部分的过程。该过程使用辐射源将图案(例如,几何图案)从光掩模转移到材料层上的光敏层(例如,光致抗蚀剂层)。辐射在光敏层的暴露区域中引起化学变化(例如,增加或降低溶解度)。可以在暴露前和/或后执行烘烤过程,例如在暴露前和/或暴露后烘烤过程中。然后,显影过程用显影剂溶液选择性除去暴露或未暴露的区域,在材料层中形成暴露图案。为了改善光刻过程的分辨率以适应具有高功能密度的IC器件,出现具有较短波长的辐射源。其之一是极紫外(EUV)辐射源。尽管现有的EUV光致抗蚀剂通常足以满足其预期目的,但他们并不完全令人满意。希望有其他改进。
发明内容
在本发明的一些实施方式中,提供了一种包含MaXbLc的化学式的有机金属前体,其中M是金属,其中X是包含吡唑样氮和吡啶样氮的多齿芳族配体,其中L是极紫外(EUV)可裂解的配体,其中a在1至2之间,其中b等于或大于1,和其中c等于或大于1。
在本发明的另一些实施方式中,提供了一种极紫外(EUV)光致抗蚀剂前体,所述前体包含:金属离子;与所述金属离子配位的EUV可裂解配体;和与所述金属离子配位的多齿配体,所述多齿配体包含:至少一个π共轭系统,包含第一孤电子对的第一氮,和包含第二孤电子对的第二氮,其中所述第一孤电子对是所述至少一个π共轭系统中的一个的一部分,而所述第二孤电子对未包含在所述至少一个π共轭系统的任何一个中。
在本发明的还要另一些实施方式中,提供了一种沉积光致抗蚀剂层的方法,所述方法包括:直接在材料层上沉积光致抗蚀剂层,其中所述光致抗蚀剂层包含前体,所述前体包含:金属离子与所述金属离子配位的极紫外(EUV)可裂解的配体,和与所述金属离子配位的芳族配体,其中所述芳族配体包含吡咯样氮和吡啶样氮;以及将所述光致抗蚀剂层的一部分暴露于EUV辐射以:使所述EUV可裂解配体从所述金属离子的配位位点裂解EUV可裂解配体,活化所述吡咯样氮,并且使所述活化的吡咯样氮与所述配位位点配位。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本公开。要强调的是,根据行业中的标准实践,各种特征特征未按比例绘制,仅用于说明目的。实际上,为了清楚起见,可以任意地增加或减小各种特征特征的尺寸。
图1示意性地示出根据本公开的各个方面的有机金属前体的分子结构。
图2A和2B示意性地示出根据本公开的各个方面的图1中的有机金属前体的多齿芳族配体的代表性结构。
图3示出根据本公开的各个方面的图1中的有机金属前体的示例性单环多齿芳族配体。
图4示出根据本公开的各个方面的图1中的有机金属前体的示例性多环多齿芳族配体。
图5示出根据本公开的各个方面的图1中的有机金属前体的示例性EUV可裂解配体。
图6示出根据本公开的各个方面的经历还原反应的图1中的有机金属前体。
图7示出根据本公开的各个方面的经历还原反应的示例性有机金属前体。
图8示出根据本公开的各个方面的用于图案化工件的方法200的流程图。
图9-15示出根据本公开的各个方面的经历图8的方法200的各个步骤的工件的局部剖视图。
图16示出根据本公开的各个方面的根据本公开的有机金属前体与材料层上的官能团配位的示例性机理。
具体实施方式
以下公开提供了用于实现所提供的主题的不同特征特征的许多不同的实施方式或示例。下面描述组件和布置的特定示例以简化本公开。当然,这些仅是示例,而无意于进行限制。例如,在下面的描述中,第一特征在第二特征上方或上的形成可以包括第一和第二特征直接接触形成的实施方式,并且还可以包括在第一和第二特征之间形成附加特征使得第一和第二特征可以不直接接触的实施方式。另外,本公开可以在各个示例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施方式和/或布置之间的关系。
为了便于描述,在本文中可以使用空间相对术语,例如“在......下方”,“在......下”,“低于”,“高于”,“在......以上”等等以描述如图所示的一个元件或特征与另一个元件或特征的关系。除了在图中描述的方位外,空间相对术语还意图涵盖设备在使用或操作中的不同方位。该装置可以以其他方式定向(旋转90度或以其他方位旋转),并且在此使用的空间相对描述语可以同样地被相应地解释。
此外,当用“约”、“近似”等描述数字或数字的范围时,该术语旨在涵盖在合理范围内的数字,考虑到在制造期间固有地产生的变化,如本领域普通技术人员所理解的那样。例如,基于与制造具有与数字相关联的特性的特征相关联的已知制造公差,数字或数字的范围涵盖包括所描述的数字的合理范围,例如在所描述的数字的+/-10%内。例如,厚度为“约5nm”的材料层可包含的尺寸范围为4.25nm至5.75nm,其中本领域的普通技术人员已知与沉积材料层相关联的制造公差为+/–15%。更进一步,本公开可以在各个示例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施方式和/或配置之间的关系。
本公开一般性地涉及EUV光刻,更具体地,涉及EUV光致抗蚀剂中的有机金属前体。
一些现有的EUV光致抗蚀剂以包括阳离子物质和阴离子物质的溶液形式出现。阴离子物质包含与EUV稳定的配体和桥配体配位的金属离子。桥配体用作交联剂对另一种金属离子起作用。在一些现有的EUV中的示例性桥配体可以包括草酸根离子(C2O4 2-)。这样的EUV光致抗蚀剂对各种表面的粘附性差。为了改善粘附性,需要表面处理或粘附性促进层以确保令人满意的粘附性。粘附性促进层的示例可以包括六甲基二硅氮烷(HMDS)。此外,由于桥配体同时是可EUV裂解的和交联剂,所以很难很好地控制EUV诱导的交联过程。一方面,一些桥配体需要从金属离子裂解以产生非配位的交联位点。另一方面,一些桥配体需要保持与金属离子的配位以充当交联剂。当没有桥配体被裂解或所有桥配体都被裂解时,交联可能不令人满意。
本公开提供了光致抗蚀剂中的有机金属前体,其可以很好地粘附至各种表面而无需表面处理或粘附促进层,并且可以以良好受控的方式交联。本公开的有机金属前体包含与多个多齿芳族配体和多个EUV可裂解配体配位的金属离子。多齿芳族配体包含共轭结构、吡咯样氮和吡啶样氮。EUV可裂解配体包含烯基或羧酸酯基。每个多齿芳族配体通过吡咯样氮与金属离子配位。当用EUV辐射照射有机金属前体时,多齿芳族配体的吡咯样氮原子被活化并且EUV可裂解配体从金属离子裂解。由于EUV可裂解配体的裂解,活化的吡咯样氮可以在留下空位的配位位点处与另一种金属离子配位。金属离子具有高原子吸收截面,从而允许可用的配位位点结合到各种表面官能团上。交联的方式和交联的程度可以通过控制EUV可裂解配体和多齿芳族配体的化学计量比来很好地控制。
图1示出根据本公开的各方面的有机金属前体100的示意性分子结构。有机金属前体100包括金属离子(M)102、与金属离子102配位的多个多齿芳族配体(X)104以及与金属离子102配位的多个EUV可裂解配体(L)106。或者,有机金属前体100也可以表示为MaXbLc,其中M表示金属离子102,L表示EUV可裂解配体106,X表示多齿芳族配体106,“a”在约1和2之间,“b”等于或大于1,并且“c”等于或大于1。“b”和“c”之和小于金属离子102的可用配位位点,从而留下至少一个非配位位点以改善粘附性。当有机金属前体100在光致抗蚀剂中并且光致抗蚀剂将沉积在材料层上时,至少一个非配位位点可以键合至表面官能团,例如氧化硅层或金属氧化物层上的羟基或氮化硅层上的胺基。有机金属前体100的至少一个非配位位点允许良好的粘附而无需表面处理或附加的粘附层。金属离子102可以包括具有高原子吸收截面的金属。金属离子102的示例可以包括锡(Sn)、铋(Bi)、锑(Sb)、铟(In)或碲(Te)。由于金属离子102可具有六(6)个配位位点,“b”和“c”的总和(即,EUV可裂解配体(L)106和多齿芳族配体(X)104的总数)不得超过5个以留出至少一个不饱和位点(即非配位位点)。与离子形式并通过抗衡离子稳定的一些现有有机金属前体不同,有机金属前体100是电荷中性的。在一些实施方式中,本公开的有机金属前体100可以非原位制备,然后使用旋涂沉积在半导体器件工件上。在一些其他实施方式中,可以使用化学气相沉积(CVD)或原子层沉积(ALD)将有机金属前体100沉积在半导体器件工件上。
图2A和2B示意性地示出图1中的有机金属前体100的多齿芳族配体104的代表性结构。在如图2A所示的一个实施方式中,多齿芳族配体104包括共轭结构1040、吡咯样氮1044以及吡啶样氮1042,其中吡咯样氮1044和吡啶样氮1042是共轭结构1040的芳环的一部分。共轭结构1040可以包括具有重叠的p轨道和π电子离域的碳(C)原子、磷(P)原子、氧(O)原子、硫(S)原子、硒(Se)原子或硼B)原子。换句话说,共轭结构1040包括π系统(或π共轭系统)。吡啶样氮1042顾名思义以类似于吡啶分子中的氮的方式连接或放置。吡啶样氮1042具有孤电子对,该孤电子对是共轭结构的π系统的一部分。吡咯样氮1044顾名思义以类似于吡咯分子中的氮的方式连接或放置。吡咯样氮1044也具有孤电子对。与吡啶样氮1042的孤电子对不同,吡咯样氮1044的孤电子对不是共轭结构的π系统的一部分。在图2B所示的另一个实施方式中,多齿芳族配体104包括共轭结构1040、连接的共轭结构1040’、结合至共轭结构1040的吡啶样氮1042以及结合至连接的共轭结构1040’的吡咯样氮1044。与图2A所示的实施方式相似,共轭结构1040和连接的共轭结构1040’可以包括具有重叠的p轨道和π电子离域的碳(C)原子、磷(P)原子、氧(O)原子、硫(S)原子、硒(Se)原子或硼B)原子。换句话说,共轭结构1040和连接的共轭结构1040’中的每一个包括π系统(或π共轭系统)。在图2B所示的实施方式中,吡啶样氮1042具有作为共轭结构1040的π系统的一部分的孤电子对。吡咯样氮1044的孤电子对不是共轭结构1040的π系统也不是连接的共轭结构1040’的π系统的一部分。为了便于描述,本公开的多齿芳族配体104可被认为包括共轭结构1040、吡咯样氮1044和吡啶样氮1042。当多齿芳族配体104包括多于一个共轭结构时,一个共轭结构的描述通常适用于另一种共轭结构。
共轭结构1040可具有包括5元杂环、6元杂环或它们的组合。在一些实施方式中,共轭结构1040可以包括连接或稠合在一起的两个或更多个5元杂环、连接或稠合在一起的两个或更多个6元杂环、连接或稠合在一起的至少一个5元杂环和至少一个6元杂环。因为共轭结构1040包括π系统和含环结构,所以共轭结构1040包括一个或多个不饱和环并且也可以称为芳族结构1040。
吡咯样氮1044和吡啶样氮1042中的每一个可提供一对电子。因此,它们中的每一个都可以提供齿合度。由于多齿芳族配体104包括至少吡咯样氮1044和吡啶样氮1042,多齿芳族配体104能够提供多于一种齿合度,因此是“多齿”。多齿芳族配体104可以包括2-4个齿合度。在一些实施方式中,多齿芳族配体104经由吡啶样氮1042与金属离子102配位,而吡咯样氮1044保持未配位。如将在下面进一步描述的,EUV辐射可以活化吡咯样氮1044以连接配位到另一种金属离子。当发生这种情况时,多齿芳族配体104的吡咯样氮1044和吡啶样氮1042与两个金属离子102配位,从而桥接它们。在这方面,多齿芳族配体104充当在EUV辐射的照射下形成桥的桥配体。
虽然在附图中未明确示出,但是在一些替代实施方式中,吡咯样氮1044和吡啶样氮1042中的至少一个可以被如下替代:噻吩样硫(S)、硒酚样硒(Se)、噻唑样硫(S)、硒唑样硒(Se)、呋喃样氧(O)、噁唑样氧(O)、二氮杂硼酸样硼(B)、双(甲基氨基)硼样硼(B)、三磷茂(triphosphole)样磷(P)或其他供电子形式的硫(S)、硒(Se)、氧(O)、硼(B)或磷(P)。这些替代中的一些可以具有作为多齿芳族配体104的π系统的一部分的孤电子对。其中一些可以具有不作为多齿芳族配体104的π系统的一部分的孤电子对。其中一些可以具有在π系统中的一个孤电子对,和在π系统外的另一个孤电子对。像吡咯样氮1044或吡啶样氮1042一样,替代硫(S)、硒(Se)、磷(P)、硼(B)或氧(O)也可以提供齿合度并用作桥配体即多齿芳族配体104的一部分。尽管本公开更详细地描述了吡咯样氮1044和吡啶样氮1042,类似的机理和应用可以类似地应用于这些替代实施方式。
图3示出示例性单环多齿芳族配体104。这些示例性单环多齿芳族配体104通常对应于图2A所示的实施方式,其中仅存在一个共轭结构1040。这些示例包括吡唑、咪唑、1,2,4-三唑、1,2,3-三唑和四唑。从图3中可以看出,这些示例中的每一个都包括具有π系统、至少一个吡咯样氮和至少一个吡啶样氮的共轭结构。尽管未明确示出,图3所示的示例还可以扩展至它们的衍生物,其中氢原子被烷基、烯基或氟取代。
图4示出示例性多环多齿芳族配体104。这些示例性多环多齿芳族配体104通常对应于图2B中所示的实施方式,其中存在共轭结构1040和连接的共轭结构1040’。这些示例包括吲唑、苯并咪唑、7-氮杂吲哚、4-氮杂吲哚、吡咯基吡啶或嘌呤。从图4中可以看出,这些示例中的每一个都包括具有π系统、至少一个吡咯样氮和至少一个吡啶样氮的共轭结构。尽管未明确示出,但是图4所示的示例还可以扩展至它们的衍生物,其中氢原子被烷基、烯基或氟取代。
图5示出示例性EUV可裂解配体106。这些示例包括烯基或羧酸酯基。烯基中的基团R1、R2和R3可以包括氢、氟或烷基。羧酸酯基中的基团R4可以包括氢、氟或烷基。基团R1、R2、R3和R4可以相同或不同。在这些示例性EUV可裂解配体中的烷基可以是直链、支链或环状的,并且可以包含1至6个碳原子。烯基和羧酸酯基团均包含双键,其可能因EUV辐射的发生而断裂,使这些示例性EUV可裂解配体具有其EUV可裂解的性质。
图6示出根据本公开的各个方面的经历还原反应的有机金属前体100。为了便于说明,在图6中仅示出四个有机金属前体100。在发生EUV辐射时,至少一个EUV可裂解配体(L)106从四个有机金属前体100的每一个裂解,以提供用于金属离子102的配位位点。此外,EUV辐射可能会破坏吡咯样氮的氮-氢(NH)键,从而使吡咯样氮失去氢,并且使吡咯样氮的氮位点(N位点)活化。EUV可裂解配体(L)106和氢可以结合形成离去基团。多齿芳族配体104的吡咯样氮的活化的N位点可以与通过离开EUV可裂解配体106而空余的金属离子102的配位位点配位。EUV可裂解配体(L)106和氢的还原导致四个有机金属前体100的交联。一些多齿芳族配体104在两个金属离子102之间延伸并用作桥配体。
使用示例进一步说明图6中的还原反应。在图7所示的示例中,有机金属前体100包括与EUV可裂解配体(L)106配位的金属离子102和作为多齿芳族配体104的示例的咪唑。更具体地,咪唑经由吡咯样氮1044与金属离子102配位并且吡啶样氮1042保持未配位。在EUV辐射150入射时,EUV可裂解配体(L)106通过EUV辐射150产生的自由基从金属离子102裂解,留下金属离子102的空位配位位点。EUV辐射150也裂解氢和吡咯样氮1044之间的键,从而活化吡咯样氮1044。EUV可裂解配体(L)106和切断的氢可形成离去基团(LH)并且活化的吡咯样氮1044可与空位配位位点配位。结果,多齿芳族配体104以其两个齿合度桥接两个金属离子102。
一般而言,正性光致抗蚀剂(positive photoresist或positive tonephotoresist))是这种类型的光致抗蚀剂,其中该光致抗蚀剂的暴露于光的部分变得可溶于光致抗蚀剂显影剂。该光致抗蚀剂的未暴露部分仍然不溶于光致抗蚀剂显影剂。负性光致抗蚀剂(negative photoresist或negative tone photoresist)是这种类型的光致抗蚀剂,其中该光致抗蚀剂的暴露于光的部分变得不溶于光致抗蚀剂显影剂。该光致抗蚀剂的未暴露部分被光致抗蚀剂显影剂溶解。因为EUV辐射形成交联以降低有机金属前体100在显影剂中的溶解性,所以有机金属前体100可以是用于EUV光刻的负性光致抗蚀剂中的活性成分。图8示出了使用包括本文所述的有机金属前体100的负性光致抗蚀剂在工件上图案化材料层的方法200的流程图。方法200仅是示例,并且不旨在将本公开限制为方法200中明确示出的内容。可以在方法200之前、期间和之后提供其他步骤,并且对于该方法的其他实施方式,可以替换、消除或移动所描述的某些步骤。为了简单起见,本文没有详细描述所有步骤。下面结合图9-15描述方法200,图9-15是根据方法200的实施方式在制造的不同阶段的工件300的局部截面图。另外,在本申请通篇中,除非另有说明,否则类似的附图标记表示类似的特征。
参考图8和9,方法200包括框202,其中提供工件300。工件300包括基材302和设置在基材302上方的材料层304。应注意,基材302在图9中以虚线示出,为了简化将从图10-15中省略。基材302可以包括单质(单元素)半导体,例如硅(Si)和/或锗(Ge);化合物半导体,例如碳化硅(SiC)、砷化镓(GaAs)、磷化镓(GaP)、磷化铟(InP)、砷化铟(InAs)和/或锑化铟(InSb);合金半导体,例如硅锗(SiGe)、磷化镓砷(GaAsP)、砷化铝铟(AlInAs)、砷化铝镓(AlGaAs)、砷化镓铟(GaInAs)、磷化镓铟(GaInP)和/或磷化镓铟砷(GaInAsP);非半导体材料,例如钠钙玻璃、熔融二氧化硅、熔融石英和/或氟化钙(CaF2);和/或其组合。在一些其他实施方式中,基材302可以是具有均匀组成的单层材料;或者,基材302可以包括具有适合于IC器件制造的相似或不同组成的多个材料层。在一个示例中,基材302可以是绝缘体上硅(SOI)基材,其具有在氧化硅层上形成的半导体硅层。基材302可以包括在其上形成的各种电路特征,包括例如场效应晶体管(FET)、金属氧化物半导体场效应晶体管(MOSFET)、CMOS晶体管、高压晶体管、高频晶体管、双极结型晶体管、二极管、电阻器、电容器、电感器、变容二极管、其他合适的设备和/或其组合。
基材302上方的材料层304代表其上将沉积光致抗蚀剂层306(将在下面描述)的最上层。即,在一些情况下,材料层304和其下的一个或多个层一起被图案化。在一些实施方式中,材料层304可以是用作硬掩模层、底部抗反射涂层(BARC)或绝缘层的介电层。在这些实施方式中,材料层304可以包括氧化硅、氮化硅、氮氧化硅、氮碳化硅、氮碳氧化硅、金属氧化物、碳化硅或碳氧化硅。示例性金属氧化物可以包括高k电介质材料,例如氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O5)、氧化铪硅(HfSiO4)、氧化锆(ZrO2)、氧化锆硅(ZrSiO2)、氧化镧(La2O3)、氧化铝(Al2O3)、氧化锆(ZrO)、氧化钇(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、氧化铪镧(HfLaO)、氧化镧硅(LaSiO)、氧化铝硅(AlSiO)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)或(Ba,Sr)TiO3(BST)。在一些其他实施方式中,材料层304可以包括半导体材料例如硅(Si)、锗(Ge)、砷化镓(GaAs)、磷化镓(GaP)、氮化镓(GaN)或硅锗(SiGe)。在其他实施方式中,材料层304可以包括聚合物层,例如聚酰亚胺层或聚合型BARC层。在其他实施方式中,材料层304可以包括导电材料,例如氮化钛(TiN)、钛铝(TiAl)、氮化铝钛(TiAlN)、氮化钽(TaN)、钽铝(TaAl)、氮化钽铝(TaAlN)、碳化钽铝(TaAlC)、碳氮化钽(TaCN)、铝(Al)、钨(W)、镍(Ni)、钛(Ti)、钌(Ru)、钴(Co)、铂(Pt)、碳化钽(TaC)、氮化钽硅(TaSiN)或铜(Cu)。当材料层304包括表面官能团例如羟基或胺基时,材料层304可以与随后沉积的光致抗蚀剂层306形成良好的粘附性,因为光致抗蚀剂层306包括有机金属前体分子100。
在材料层304可以催化光致抗蚀剂层306的过早交联的一些实施方式中(将在下面描述),在框202处,可以在沉积光致抗蚀剂层之前在材料层304上沉积非常薄的氧化硅层或非常薄的聚合物层作为保护盖(或盖层)。
参考图8和图10,方法200包括框204,其中在材料层304上沉积光致抗蚀剂层306。光致抗蚀剂层306包括上述有机金属前体100,并且可以包括其他添加剂或表面活性剂。光致抗蚀剂层306可以是负性光致抗蚀剂层。在一些实施方式中,可以使用旋涂、化学气相沉积(CVD)或原子层沉积(ALD)来沉积光致抗蚀剂层306。当使用旋涂沉积光致抗蚀剂层306时,有机金属前体100可以与添加剂和表面活性剂一起溶解或分散在分散体或溶液中,然后涂覆在材料层302上。简要地参考图16,因为光致抗蚀剂层306中的有机金属前体100包含至少一个不饱和配位位点1046(通常约1至2个不饱和配位位点),其可以与材料层304的官能团或悬挂键配位,所以光致抗蚀剂层306可以对材料层304很好地粘附,而无需对材料层304进行任何表面处理或改性,例如HDMS预处理。作为示例,图16示出光致抗蚀剂层306中的有机金属前体100可以与材料层304的羟基配位。
当使用ALD或CVD沉积光致抗蚀剂层306时,用于有机金属前体100的气体前体可以被引导到材料层304,在此气体前体彼此反应并与材料层304反应以形成光致抗蚀剂层306。在一些情况下,气体前体可以包含第一气体前体和第二气体前体。第一气体前体可以包括卤代的EUV可裂解配体106,例如卤化烯烃。第二气体前体可包括与多齿芳族配体104和卤化物配位的金属离子102。卤素组分允许气体前体为气态形式。在CVD或ALD过程期间,可以加热材料层304,并且当第一气体前体和第二气体前体与加热的材料层304接触时,可以除去卤素组分。换句话说,当使用ALD或CVD沉积光致抗蚀剂层306时,可以通过与蒸气型有机金属前体(MaXbVc,其中V是诸如卤素或含卤素的基团的挥发性基团)和蒸气型EUV可裂解配体(L)混合的化学反应形成光致抗蚀剂层306以形成有机金属前体100(MaXbLc),然后沉积在材料层304的表面上。
参考图8和11,方法200包括框206,其中执行暴露前处理过程400。暴露前处理过程400也可以被称为施加后处理过程400。暴露前处理过程400促进不希望的物质的脱气或除去光致抗蚀剂层306中的过量水分。不希望的物质可以包括当使用气态前体沉积光致抗蚀剂层306时,在CVD或ALD过程期间的离去基团或副产物。示例可以包括含卤化物的物质。取决于待除去的物质的性质,暴露前处理过程400可以包括烘烤过程、红外固化过程、紫外线(UV)固化过程或可见光固化过程。在其中不希望的物质将被中和的一些替代实施方式中,暴露前处理过程可以包括用诸如硅烷(SiH4)的反应气体来改性光致抗蚀剂层306的表面。当暴露前处理过程400包括烘烤过程时,烘烤温度可以在约60℃至约170℃之间。
参考图8和12,方法200包括框208,其中光致抗蚀剂层306暴露于辐射图案。可以使用在图12中示意性示出的光刻系统500来执行在框208处的暴露。光刻系统500也可以被统称为扫描仪,其可操作用于执行光刻过程,包括用相应的辐射源并在特定的暴露模式下暴露。在本发明实施方式的至少一些中,光刻系统500包括极紫外(EUV)光刻系统,该系统被设计为通过EUV辐射来暴露诸如光致抗蚀剂层306的光致抗蚀剂层。图12的光刻系统500包括多个子系统,例如EUV源502、照明器504、配置为接收掩模508的掩模台506、投影光学器件510和配置为接收工件例如工件300的基材台514。可以如下给出光刻系统500的操作的一般描述:来自EUV源502的EUV辐射被引导向照明器504(其包括一组反射镜)并投射到反射掩模508上。反射掩模图像指向投影光学器件510,其将EUV光聚焦并将EUV光投射到工件300上以暴露沉积在其上的EUV抗蚀剂层。另外,在各种示例中,光刻系统500的每个子系统可以容纳在高真空环境中并因此在高真空环境内操作,例如以减少EUV光的大气吸收。
在本文描述的实施方式中,EUV源502可以用于产生EUV辐射。在一些实施方式中,EUV源502包括等离子体源,例如产生放电的等离子体(DPP)或产生激光的等离子体(LPP)。在一些实施方式中,EUV辐射可以包括具有以大约13.5nm为中心的波长的辐射。在一些实施方式中,EUV源502还包括收集器,其可用于收集从等离子体源产生的EUV辐射并将EUV辐射引向诸如照明器504的成像光学器件。如上所述,来自EUV源502的EUV辐射指向照明器504。在一些实施方式中,照明器504可以包括反射光学器件,例如单个反射镜或具有多个反射镜的反射镜系统,以将来自EUV源502的辐射引导到掩模台506上,特别是引导到固定在掩模台506上的掩模508。在一些示例中,照明器504可以包括区域板,例如以改善EUV辐射的聚焦。在一些实施方式中,照明器504可以被配置为根据特定的光瞳形状(pupil shape)并且包括例如偶极形状、四极形状、环形形状、单光束形状、多光束形状和/或其组合来使通过其中的EUV辐射成形。在一些实施方式中,照明器504可操作以配置(即,照明器504的)反射镜以向掩模508提供期望的照明。在一个示例中,照明器504的反射镜可配置为将EUV辐射反射到不同的照明位置。在一些实施方式中,在照明器504之前的平台可以另外包括其他可配置的反射镜,这些反射镜可以用于将EUV辐射引导到照明器504的反射镜内的不同照明位置。在一些实施方式中,照明器504被配置为向掩模508提供同轴照明(ONI)。在一些实施方式中,照明器504被配置为向掩模508提供离轴照明(OAI)。应当注意,EUV光刻系统500中采用的光学器件,特别是用于照明器504和投影光学器件510的光学器件,可以包括具有多层薄膜涂层的反射镜,称为布拉格反射器。举例来说,这种多层薄膜涂层可包括Mo和Si的交替层,其在EUV波长(例如约13nm)下提供高反射率。
如上所述,光刻系统500还包括被配置为固定掩模508的掩模台506。由于光刻系统500可以被容纳在高真空环境中并因此在其内操作,掩模台506可以包括静电吸盘(e-chuck)以固定掩模508。与EUV光刻系统500的光学器件一样,掩模508也是反射性的。如图12的示例中所示,辐射从掩模508反射并被导向投影光学器件510,其收集从掩模508反射的EUV辐射。举例来说,通过投影光学器件510收集的EUV辐射(从掩模508反射的)承载由掩模508限定的图案的图像。在各种实施方式中,投影光学器件510用于将掩模508的图案成像到固定在光刻系统500的基材台514上的工件300上。特别地,在各种实施方式中,投影光学器件510聚焦收集的EUV光并将EUV光投影到工件300上以暴露工件300上的光致抗蚀剂层306。如上所述,投影光学器件510可以包括反射光学器件,如在诸如光刻系统500的EUV光刻系统中所使用的。在一些实施方式中,照明器504和投影光学器件510被统称为光刻系统500的光学模块。
在一些实施方式中,光刻系统500还包括光瞳相位调制器512,以调制从掩模508引导的EUV辐射的光学相位,使得光具有沿着投影光瞳平面的相位分布。在一些实施方式中,光瞳相位调制器512包括调整投影光学器件510的反射镜以进行相位调制的机构。例如,在一些实施方式中,投影光学器件510的反射镜可配置成反射EUV光通过光瞳相位调制器512,从而调制通过投影光学器件510的光的相位。在一些实施方式中,光瞳相位调制器512利用放置在投影光瞳平面上的光瞳滤波器。举例来说,可以采用光瞳滤波器来滤出从掩模508反射的EUV辐射的特定空间频率分量。在一些实施方式中,光瞳滤波器可以用作调制被引导穿过投影光学器件510的光的相位分布的相位光瞳滤波器。
如图12所示,使用光刻系统500,光致抗蚀剂层306的暴露部分310暴露于EUV辐射,而未暴露部分308保持未暴露。在光致抗蚀剂层306的暴露部分310中的有机金属前体100变得交联。更具体地,参考图1和图2,来自光刻系统500的EUV辐射产生自由基。自由基使EUV可裂解配体106从金属离子102裂解,并使氢从吡咯样氮1044裂解。吡咯样氮1044被活化并与具有非配位位点的另一金属离子配位。多齿芳族配体104用作桥配体以形成交联。采用EUV生成的自由基,在未暴露部分308中不会发生相同的交联。
参考图8和图13,方法200包括框210,其中执行暴露后烘烤过程600。在一些执行方式中,选择暴露后烘烤过程600的烘烤温度或烘烤温度曲线以确保除去在框208处在EUV暴露过程中产生的离去基团。该离去基团对应于上述的离去基团LH。暴露后烘烤过程600的烘烤温度可以在约50℃至约150℃之间。
参考图8和14,方法200包括框212,其中对暴露的光致抗蚀剂层306进行显影以形成图案化的光致抗蚀剂层312。在框212处,使用显影剂溶液来除去未暴露部分308,该未暴露部分在框208处未交联。选择显影剂溶液,使得其适合于选择性溶解和除去未暴露部分308(未交联),同时光致抗蚀剂层306的暴露部分310(交联)保持基本完好。合适的显影剂溶液可以包括溶剂,例如乙酸正丁酯、乙醇、己烷、苯、甲苯、水、异丙醇(IPA)或2-庚酮。在一些实施方式中,框212还可以包括一种或多种除渣或冲洗过程以除去任何残留的光致抗蚀剂层306或碎屑。在框212处的操作结束时,形成图案化的光致抗蚀剂层312。由于除去未暴露部分308,图案化的光致抗蚀剂层312包括开口314,并且材料层304暴露在开口314中。
参考图8和15,方法200包括框214,其中使用图案化的光致抗蚀剂层314作为蚀刻掩模来蚀刻材料层304。在一些实施方式中,使用图案化的光致抗蚀剂层312作为蚀刻掩模,通过干法蚀刻过程700(诸如反应离子蚀刻(RIE)过程)蚀刻材料层304。在一些示例中,可以使用包括含氟蚀刻剂气体(例如,NF3、CF4、SF6、CH2F2、CHF3,和/或C2F6)、含氧气体(例如,O2)、含氯气体(例如Cl2、CHCl3、CCl4、SiCl4,和/或BCl3)、含氮气体(例如N2)、含溴气体(例如HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体或其组合的蚀刻剂气体来实施干法蚀刻过程700。在图15所示的一些实施方式中,干蚀刻过程700在材料层304中形成凹槽316。虽然凹槽316被示出为不延伸穿过材料层304,在替代实施方式中,其可以延伸穿过材料层304。
尽管无意于限制本公开,但是本公开的一个或多个实施方式为半导体器件及其形成过程提供许多益处。例如,本公开提供了负性光致抗蚀剂中的有机金属前体。本公开的有机金属前体包含与多个多齿芳族配体和多个EUV可裂解配体配位的金属离子。多齿芳族配体包括共轭结构、吡咯样氮和吡啶样氮。EUV可裂解配体包括烯基或羧酸酯基。每个多齿芳族配体通过吡咯样氮与金属离子配位。当用EUV辐射照射有机金属前体时,多齿芳族配体的吡咯样氮原子被活化,并且EUV可裂解配体从金属离子裂解。由于EUV可裂解配体的裂解,活化的吡咯样氮可以在留下的空位的配位位点处与另一种金属离子配位。金属离子具有高原子吸收截面,从而允许可用的配位位点结合到各种表面官能团。交联的方式和交联的程度可以通过控制EUV可裂解配体和多齿芳族配体的化学计量比来很好地控制。
在一个示例性方面,本公开旨在提供一种有机金属前体。有机金属前体包含MaXbLc的化学式,其中M是金属,X是多齿芳族配体,包括吡咯样氮和吡啶样氮,L是极紫外(EUV)可裂解配体,a在1和2之间,b等于或大于1,c等于或大于1。
在一些实施方式中,b和c之和小于5。在一些实施方式中,多齿芳族配体包括至少一个π共轭系统,吡咯样氮包括作为所述至少一个π共轭系统中的一个的一部分的的孤电子对,且吡啶样氮包括不是所述至少一个π共轭系统中的一个的一部分的孤电子对。在一些情况下,金属具有高原子吸收截面。在一些执行方式中,金属选自锡(Sn)、铋(Bi)、锑(Sb)、铟(In)和碲(Te)。在一些实施方式中,多齿芳族配体包括五元芳族环。在一些情况下,多齿芳族配体还包括与五元芳族环稠合或连接的六元芳族环。在一些情况下,多齿芳族配体包括吡唑、咪唑、1,2,4-三唑、1,2,3-三唑、四唑、吲唑、苯并咪唑、7-氮杂吲哚、4-氮杂吲哚、吡咯基吡啶或嘌呤。在一些实施方式中,EUV可裂解配体包括烯基或羧酸酯基。
在另一个示例性方面,本公开涉及一种极紫外(EUV)光致抗蚀剂前体。极紫外(EUV)光致抗蚀剂前体包含金属离子、与金属离子配位的EUV可裂解配体和与金属离子配位的多齿配体。多齿配体包括至少一个π共轭系统、包含第一孤电子对的第一氮和包括第二孤电子对的第二氮。第一孤电子对是所述至少一个π共轭系统中的一个的一部分,并且第二孤电子对未包括在所述至少一个π共轭系统中的任何一个中。
在一些实施方式中,第一氮为吡咯样氮,并且第二氮为吡啶样氮。在一些实施方式中,金属离子具有高原子吸收截面。在一些情况下,金属离子选自锡(Sn)离子、铋(Bi)离子、锑(Sb)离子、铟(In)离子和碲(Te)离子。在一些执行方式中,多齿配体包括五元芳族环。在一些情况下,EUV可裂解配体包括烯基或羧酸酯基。
在又一示例性方面,本公开涉及一种方法。所述方法包括直接在材料层上沉积光致抗蚀剂层,其中所述光致抗蚀剂层包含前体,所述前体包含金属离子;与所述金属离子配位的极紫外(EUV)可裂解配体和与所述金属离子配位的芳族配体,其中所述芳族配体包括吡咯样氮和吡啶样氮。该方法进一步包括将光致抗蚀剂层的一部分暴露于EUV辐射,以使EUV可裂解配体从金属离子的配位位点裂解,活化吡咯样氮,并且使活化的吡咯样氮与所述配位位点配位。
在一些实施方式中,该方法可以进一步包括在暴露之后,烘烤光致抗蚀剂层以使光致抗蚀剂层的部分交联。在一些执行方式中,材料层包括介电层、导电层、聚合物层或半导体层。在一些实施方式中,光致抗蚀剂层的沉积包括旋涂、化学气相沉积(CVD)或原子层沉积(ALD)的使用。在一些实施方式中,光致抗蚀剂层的沉积包括使用气态前体。
下面描述一些示例性实施方式。
实施方式1.一种包含MaXbLc的化学式的有机金属前体,
其中M是金属,
其中X是包含吡唑样氮和吡啶样氮的多齿芳族配体,
其中L是极紫外(EUV)可裂解的配体,
其中a在1至2之间,
其中b等于或大于1,和
其中c等于或大于1。
实施方式2.根据实施方式1所述的有机金属前体,其中b和c之和小于5。
实施方式3.根据实施方式1所述的有机金属前体,
其中所述多齿芳族配体包含至少一个π共轭系统,
其中所述吡咯样氮包含作为所述至少一个π共轭系统中的一个的一部分的孤电子对,
其中所述吡啶样氮包含不为所述至少一个π共轭系统中的任何一个的一部分的孤电子对。
实施方式4.根据实施方式1所述的有机金属前体,其中所述金属具有高原子吸收截面。
实施方式5.根据实施方式1所述的有机金属前体,其中所述金属选自锡(Sn)、铋(Bi)、锑(Sb)、铟(In)和碲(Te)。
实施方式6.根据实施方式1所述的有机金属前体,其中所述多齿芳族配体包含五元芳族环。
实施方式7.根据实施方式6所述的有机金属前体,其中所述多齿芳族配体还包含与所述五元芳族环稠合或连接的六元芳族环。
实施方式8.根据实施方式1所述的有机金属前体,其中所述多齿芳族配体包含吡唑、咪唑、1,2,4-三唑、1,2,3-三唑、四唑、吲唑、苯并咪唑、7-氮杂吲哚、4-氮杂吲哚、吡咯基吡啶或嘌呤。
实施方式9.根据实施方式1所述的有机金属前体,其中所述EUV可裂解配体包含烯基或羧酸酯基。
实施方式10.一种极紫外(EUV)光致抗蚀剂前体,所述前体包含:
金属离子;
与所述金属离子配位的EUV可裂解配体;和
与所述金属离子配位的多齿配体,所述多齿配体包含:
至少一个π共轭系统,
包含第一孤电子对的第一氮,和
包含第二孤电子对的第二氮,
其中所述第一孤电子对是所述至少一个π共轭系统中的一个的一部分,而所述第二孤电子对未包含在所述至少一个π共轭系统的任何一个中。
实施方式11.根据实施方式10所述的EUV光致抗蚀剂前体,其中所述第一氮为吡咯样氮,并且所述第二氮为吡啶样氮。
实施方式12.根据实施方式10所述的EUV光致抗蚀剂前体,其中所述金属离子具有高原子吸收截面。
实施方式13.根据实施方式10所述的EUV光致抗蚀剂前体,其中所述金属离子选自锡(Sn)离子、铋(Bi)离子、锑(Sb)离子、铟(In)离子和碲(Te)离子。
实施方式14.根据实施方式10所述的EUV光致抗蚀剂前体,其中所述多齿配体包含五元芳族环。
实施方式15.根据实施方式10所述的EUV光致抗蚀剂前体,其中所述EUV可裂解配体包含烯基或羧酸酯基。
实施方式16.一种沉积光致抗蚀剂层的方法,所述方法包括:
直接在材料层上沉积光致抗蚀剂层,其中所述光致抗蚀剂层包含前体,所述前体包含:
金属离子
与所述金属离子配位的极紫外(EUV)可裂解的配体,和
与所述金属离子配位的芳族配体,其中所述芳族配体包含吡咯样氮和吡啶样氮;以及
将所述光致抗蚀剂层的一部分暴露于EUV辐射以:
使所述EUV可裂解配体从所述金属离子的配位位点裂解EUV可裂解配体,
活化所述吡咯样氮,并且
使所述活化的吡咯样氮与所述配位位点配位。
实施方式17.根据实施方式16所述的方法,所述方法还包括:
在暴露后,烘烤所述光致抗蚀剂层以使所述光致抗蚀剂层的部分交联。
实施方式18.根据实施方式16所述的方法,其中所述材料层包括介电层、导电层、聚合物层或半导体层。
实施方式19.根据实施方式16所述的方法,其中所述光致抗蚀剂层的沉积包括旋涂、化学气相沉积(CVD)或原子层沉积(ALD)的使用。
实施方式20.根据实施方式16所述的方法,其中所述光致抗蚀剂层的沉积包括使用气态前体。
前述内容概述了几个实施方式的特点,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地将本公开用作设计或修改其他过程和结构的基础,以实现与本文介绍的实施方式相同的目的和/或实现相同的优点。本领域技术人员还应当认识到,这样的等效构造不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,它们可以在本文中进行各种改变、替换和变更。

Claims (10)

1.一种包含MaXbLc的化学式的有机金属前体,
其中M是金属,
其中X是包含吡唑样氮和吡啶样氮的多齿芳族配体,
其中L是极紫外(EUV)可裂解的配体,
其中a在1至2之间,
其中b等于或大于1,和
其中c等于或大于1。
2.根据权利要求1所述的有机金属前体,其中b和c之和小于5。
3.根据权利要求1所述的有机金属前体,
其中所述多齿芳族配体包含至少一个π共轭系统,
其中所述吡咯样氮包含作为所述至少一个π共轭系统中的一个的一部分的孤电子对,
其中所述吡啶样氮包含不为所述至少一个π共轭系统中的任何一个的一部分的孤电子对。
4.根据权利要求1所述的有机金属前体,其中所述金属具有高原子吸收截面。
5.根据权利要求1所述的有机金属前体,其中所述金属选自锡(Sn)、铋(Bi)、锑(Sb)、铟(In)和碲(Te)。
6.根据权利要求1所述的有机金属前体,其中所述多齿芳族配体包含五元芳族环。
7.根据权利要求1所述的有机金属前体,其中所述多齿芳族配体包含吡唑、咪唑、1,2,4-三唑、1,2,3-三唑、四唑、吲唑、苯并咪唑、7-氮杂吲哚、4-氮杂吲哚、吡咯基吡啶或嘌呤。
8.根据权利要求1所述的有机金属前体,其中所述EUV可裂解配体包含烯基或羧酸酯基。
9.一种极紫外(EUV)光致抗蚀剂前体,所述前体包含:
金属离子;
与所述金属离子配位的EUV可裂解配体;和
与所述金属离子配位的多齿配体,所述多齿配体包含:
至少一个π共轭系统,
包含第一孤电子对的第一氮,和
包含第二孤电子对的第二氮,
其中所述第一孤电子对是所述至少一个π共轭系统中的一个的一部分,而所述第二孤电子对未包含在所述至少一个π共轭系统的任何一个中。
10.一种沉积光致抗蚀剂的方法,所述方法包括:
直接在材料层上沉积光致抗蚀剂层,其中所述光致抗蚀剂层包含前体,所述前体包含:
金属离子
与所述金属离子配位的极紫外(EUV)可裂解的配体,和
与所述金属离子配位的芳族配体,其中所述芳族配体包含吡咯样氮和吡啶样氮;以及
将所述光致抗蚀剂层的一部分暴露于EUV辐射以:
使所述EUV可裂解配体从所述金属离子的配位位点裂解EUV可裂解配体,
活化所述吡咯样氮,并且
使所述活化的吡咯样氮与所述配位位点配位。
CN202110629605.7A 2020-09-30 2021-06-07 用于半导体制造的光致抗蚀剂 Pending CN113946096A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085364P 2020-09-30 2020-09-30
US63/085,364 2020-09-30
US17/177,837 2021-02-17
US17/177,837 US20220100087A1 (en) 2020-09-30 2021-02-17 Photoresist for semiconductor fabrication

Publications (1)

Publication Number Publication Date
CN113946096A true CN113946096A (zh) 2022-01-18

Family

ID=79327381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110629605.7A Pending CN113946096A (zh) 2020-09-30 2021-06-07 用于半导体制造的光致抗蚀剂

Country Status (5)

Country Link
US (1) US20220100087A1 (zh)
KR (1) KR102596641B1 (zh)
CN (1) CN113946096A (zh)
DE (1) DE102021104063A1 (zh)
TW (1) TWI806049B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140023717A (ko) * 2012-08-17 2014-02-27 주식회사 엘지화학 광경화성 및 열경화성을 갖는 수지 조성물과 및 이를 사용하여 제조된 드라이 필름 솔더 레지스트
US20150079393A1 (en) * 2013-09-13 2015-03-19 The Research Foundation For The State University Of New York Molecular Organometallic Resists for EUV

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9207532B2 (en) * 2012-10-05 2015-12-08 California Institute Of Technology Photoinitiated olefin methathesis polymerization
US10799613B2 (en) * 2013-10-30 2020-10-13 California Institute Of Technology Direct photopatterning of robust and diverse materials
WO2016172737A1 (en) * 2015-04-22 2016-10-27 Robinson Alex Phillip Graham Sensitivity enhanced photoresists
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
EP3507007A4 (en) * 2016-09-02 2020-04-29 California Institute of Technology PHOTOACTIVE CATALYST COMPOSITIONS
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US11287740B2 (en) * 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140023717A (ko) * 2012-08-17 2014-02-27 주식회사 엘지화학 광경화성 및 열경화성을 갖는 수지 조성물과 및 이를 사용하여 제조된 드라이 필름 솔더 레지스트
US20150079393A1 (en) * 2013-09-13 2015-03-19 The Research Foundation For The State University Of New York Molecular Organometallic Resists for EUV

Also Published As

Publication number Publication date
US20220100087A1 (en) 2022-03-31
KR20220044154A (ko) 2022-04-06
TW202214661A (zh) 2022-04-16
DE102021104063A1 (de) 2022-03-31
TWI806049B (zh) 2023-06-21
KR102596641B1 (ko) 2023-10-31

Similar Documents

Publication Publication Date Title
US20230266662A1 (en) Vacuum-integrated hardmask processes and apparatus
JP6902849B2 (ja) 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
US20180315617A1 (en) Material composition and methods thereof
CN106325002B (zh) 光刻技术显影成分及用于光刻技术图案化的方法
KR102405489B1 (ko) 반도체 디바이스 제조 방법
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
KR102596641B1 (ko) 반도체 제작용 포토레지스트
US11726405B2 (en) Photoresist for semiconductor fabrication
KR102630481B1 (ko) 반도체 디바이스 제조 방법
CN113156770A (zh) 光致抗蚀剂层表面处理、盖层和形成光致抗蚀剂图案的方法
KR20210122677A (ko) 반도체 디바이스를 제조하는 방법
US20200152468A1 (en) Methods of Reducing Pattern Roughness in Semiconductor Fabrication
CN113109995A (zh) 制造半导体器件的方法
US20220028684A1 (en) Photoresist layer outgassing prevention
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
TW201826043A (zh) 用於利用傾斜圖案化製造ic晶片的方法和裝置
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
CN116224715A (zh) 光阻剂、半导体装置的制造方法及极紫外线微影术方法
CN117761968A (zh) 光阻剂溶液、使用光阻剂溶液的方法及改良光阻剂效能的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination