CN112020676A - 制造euv可图案化硬掩模的方法 - Google Patents

制造euv可图案化硬掩模的方法 Download PDF

Info

Publication number
CN112020676A
CN112020676A CN201980028279.5A CN201980028279A CN112020676A CN 112020676 A CN112020676 A CN 112020676A CN 201980028279 A CN201980028279 A CN 201980028279A CN 112020676 A CN112020676 A CN 112020676A
Authority
CN
China
Prior art keywords
film
euv
organometallic
precursor
tin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980028279.5A
Other languages
English (en)
Inventor
吴成浩
蒂莫西·威廉·威德曼
卡蒂·纳尔迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112020676A publication Critical patent/CN112020676A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

用于在半导体衬底上制造薄膜的方法,半导体衬底可使用EUV以图案化,该方法包括:将有机金属前体的蒸气流与逆反应物的蒸气流混合,以形成聚合的有机金属材料;并将类有机金属聚合物材料沉积在半导体衬底的表面上。混合及沉积操作可以通过以下执行:化学气相沉积(CVD)、原子层沉积(ALD)工艺、以及具有CVD组分的ALD,例如不连续的类ALD工艺,其中金属前体及逆反应物不论在时间上或空间上均为分离的。

Description

制造EUV可图案化硬掩模的方法
相关申请的交叉引用
本申请要求于2018年12月20日提交的美国临时申请No.62/782,578和于2018年5月11日提交的美国临时申请No.62/670,644的权益。上述申请的全部公开内容为通过引用并入本文。
技术领域
本技术涉及用于制造光刻掩模的系统和方法,光刻掩模用于半导体制造。具体而言,本技术提供用于在衬底上生成可图案化硬掩模的方法、设备及组成,衬底是用于半导体设备的制造。
背景技术
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
半导体设备(例如集成电路)的制造为涉及光刻的多步骤工艺。通常,工艺包括在晶片上沉积材料,及通过光刻技术将材料图案化以形成半导体设备的结构特征(例如,触点(contacts)、通孔(vias)、互联件(interconnects)、晶体管及电路)。本领域中已知的典型光刻工艺的步骤包括:预备衬底;施加光致抗蚀剂,例如通过旋转涂布进行;以所需的图案使光致抗蚀剂暴露,使光致抗蚀剂的暴露区域或多或少地可溶于显影剂溶液中;通过施加显影剂溶液以进行显影,去除光致抗蚀剂的暴露区域或未暴露区域;以及后续处理,在已去除光致抗蚀剂的衬底区域上产生特征,例如通过蚀刻或材料沉积进行。
半导体设计的发展已经产生了在半导体衬底材料上产生更小的特征的需求,并且已经被该能力所驱动。此技术的进步如在“摩尔定律”中所述,密集集成电路中晶体管的密度每两年即倍增一次。实际上,芯片设计和制造已取得进展,使现代的微处理器可在单一芯片上包含数十亿晶体管及其他电路特征。该芯片上的各特征可为22纳米(nm)等级或更小,在一些情况下小于10nm。
在制造具有这种小特征的设备时,可靠地且具再现性地产生具有足够分辨率的光刻掩模是有挑战性的。目前光刻工艺通常使用193nm的紫外(UV)光以暴露光致抗蚀剂。光波长显著地大于希望在半导体衬底上产生的特征尺寸的事实产生固有的问题。需要使用复杂的分辨率增强技术,例如多重图案化,以实现小于光波长的特征尺寸。因此,使用波长较短的光发展光刻技术是具有显著的意义和研究成果,该波长较短的光例如极紫外辐射(EUV),其具有波长在10nm至15nm,例如13.5nm。
然而,EUV光刻工艺可能具有挑战性,其包括在图案化期间的低能量输出及漏光。当用于EUV光刻时,类似于用于193nmUV光刻的传统的有机化学放大光致抗蚀剂(CAR)具有潜在的缺点,特别是当它们在EUV区域具有低吸收系数且光活化化学物质的扩散可能导致模糊或线边缘粗糙。此外,为了提供在图案化下伏的设备层时所需的蚀刻抗性,在常规的CAR材料中图案化的小特征可能导致高深宽比且具有图案坍塌的风险。因此,仍需要改进EUV光致抗蚀剂材料,以具有缩小的厚度、较大的吸收度以及较大的蚀刻抗性等性质。
发明内容
本技术提供用于在衬底上,特别是半导体衬底上,制造薄膜的方法,半导体衬底可使用EUV以图案化。这种方法包括在气相中生成聚合的有机金属材料并沉积在衬底上的那些方法。具体而言,在半导体衬底的表面上制造EUV可图案化的薄膜的方法包括:将有机金属前体的蒸气流与逆反应物(counter-reactant)的蒸气流混合,以形成聚合的有机金属材料;并将类有机金属聚合物材料沉积在半导体衬底的表面上。在一些实施方案中,蒸气流中包含一种以上的有机金属前体。在一些实施方案中,蒸气流中包含一种以上的逆反应物。在一些实施方案中,混合及沉积操作执行于连续的化学气相沉积(CVD)中、原子层沉积(ALD)工艺中、或具有CVD组分的ALD中,例如不连续的类ALD工艺,其中金属前体及逆反应物不论在时间上或空间上均为分离的。本技术还提供了在半导体材料的表面上形成图案的方法,包括使用经图案化的EUV光束(通常在相对高的真空下)暴露根据本技术而制造的EUV可图案化薄膜的区域,随后从真空中取出晶片并在环境空气中执行暴露后烘烤。暴露导致一或更多暴露区域,使膜包括一或更多未暴露于EUV光的未暴露区域。可利用暴露及未暴露区域中的化学及物理差异以进一步处理覆膜的衬底。
根据具体实施方式、权利要求和附图,本技术的其他应用领域将变得显而易见。具体实施方式及具体示例仅出于说明的目的,并不意图限制本技术的范围。
附图说明
根据具体实施方式及附图,将更全面地理解本技术,其中:
图1描绘了本技术的示例性化学反应方案。
图2为描绘了本技术的用于沉积及处理膜的示例性工艺的方面的流程图。
图3描绘了根据本技术以制造EUV界定的图案的示例性工艺。
图4描绘了根据本技术而用于产生图案的另一示例性工艺。
图5a、图5b以及图5c提供根据示例1而制造的示例性衬底的扫描式电子显微镜图像,示例性衬底具有使用本技术方法而制造的图案化特征。
图6a和图6b提供根据示例2而制造的示例性衬底的扫描式电子显微镜图像,示例性衬底具有使用本技术方法而制造的图案化特征。
图7a和图7b提供根据示例2而制造的附加的示例性衬底的扫描式电子显微镜图像,示例性衬底具有使用本技术方法而制造的图案化特征。
图8提供了根据示例3而制造的具有下伏特征的示例性衬底的扫描式电子显微镜图像,示例性衬底具有使用本技术方法而制造的图案化特征。
具体实施方式
以下的技术描述仅为示例性的,例如一或更多发明的主题、制造及使用,且并非用于限制在本申请中、或在可能主张本申请的优先权的其他申请或专利中的任何特定发明的范围、应用或用途。在本具体实施方式的结尾提供协助理解本技术的术语和词组的非限制性讨论。
如上所述,本技术提供用于在半导体衬底上制造聚合薄膜的方法,半导体衬底可使用EUV以图案化。这样的方法包括在蒸汽中生成聚合的有机金属材料并沉积在衬底上。
衬底可包括适用于光刻处理的任何材料构造,特别是用于集成电路及其他半导体设备的制造。在一些实施方案中,衬底为硅晶片。衬底可为其上已形成特征(下伏特征)的硅晶片,具有不规则的表面形貌。(如在本文中所述,“表面”为本技术的膜在处理期间中将沉积在其上的表面,或在处理期间中将暴露于EUV的表面。)这些下伏特征可包括在执行本技术方法之前的处理期间中已去除材料(例如,通过蚀刻)的区域或已添加材料(例如,通过沉积)的区域。这种先前处理可包括本技术的方法或递归工艺中的其他处理方法,通过上述方法在衬底上形成特征的二或更多个层。在不限制本技术的反应机制、功能或效用的情况下,相信在一些实施方案中,本技术方法提供相对于本领域已知方法的优点,已知方法使用旋转涂布法将光光刻膜沉积在衬底的表面上。这样的优点可能是源于在不“填充”或以其他方式平坦化特征的情况下,本技术的膜与下伏特征之间的共形,以及在各种材料表面上沉积膜的能力。具有下伏特征且已有本技术的膜沉积于上的示例性表面是如图8所描绘的,图8在如下的示例3中进一步提及。
聚合薄膜
本技术提供将EUV-感光薄膜沉积在衬底上的方法,这种薄膜可用作后续EUV光刻及处理的光致抗蚀剂。这种EUV-感光薄膜包括在暴露于EUV时经受变化的材料,该变化例如为在低密度的富含M-OH的材料中损失与金属原子键合的庞大的侧链取代基,使它们交联成较致密的M-O-M键合的金属氧化物材料。通过EUV图案化,产生了相对于未暴露区域具有改变的物理或化学性质的膜区域。这些性质可用于后续处理,例如溶解未暴露或暴露区域,或选择性地将材料沉积在暴露或未暴露区域上。在一些实施方案中,在执行这种后续处理的条件下,未暴露的膜具有疏水性表面,且暴露的膜具有亲水性表面(应当理解,暴露和未暴露区域的亲水性质是彼此相关的)。例如,可利用膜的化学组成、密度和交联的差异以执行材料的去除。如以下的进一步描述,可通过湿处理或干处理而去除。
在多种实施方案中,薄膜为有机金属材料,其包括SnOx或其他金属氧化物部分(moiety)。有机金属化合物可在有机金属前体与逆反应物的气相反应中生成。在多种实施方案中,有机金属化合物的形成是通过将具有大型烷基或氟代烷基的有机金属前体的特定组合与逆反应物混合,并在气相中使上述混合物聚合以生成低密度的EUV-感光材料而沉积在衬底上。
在多种实施方案中,有机金属前体在每一金属原子上包括能在气相反应之后留存的至少一个烷基,而与金属原子配位的其他配体或离子可能被逆反应物取代。有机金属前体包括具有化学式如:
MaRbLc(化学式1)
其中:M为具有高EUV吸收横截面的金属;R为烷基,例如CnH2n+1,其中n≥3为优选的;L为与逆反应物反应的配体、离子或其他部分;a≥1;b≥1;且c≥1。
在多种实施方案中,M具有等于或大于1×107cm2/mol的原子吸收横截面。M可为,例如,选自于由锡、铋、锑及其组合所构成的群组。在一些实施方案中,M为锡。R可被氟化,例如具有化学式CnFxH(2n+1)。在多种实施方案中,R具有至少一个β-氢或β-氟。例如,R可选自于由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基及其混合物所构成的群组。L可为易于被逆反应物取代而产生M-OH部分的任何部分,例如选自于由胺(例如二烷基氨基、单烷基氨基)、烷氧基、羧酸盐、卤素及其混合物所构成的群组的部分。
有机金属前体可为各种候选的金属-有机前体中的任何一者。例如,当M是锡时,这种前体包括叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡以及类似的烷基三(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡。在一些实施方案中,有机金属前体为部分氟化的。
逆反应物优选地具有能取代反应性部分配体或离子(例如,上述化学式1中的L)的能力,以便以化学键连接至少两个金属原子。逆反应物可包括水、过氧化物(例如过氧化氢)、二羟基或多羟基醇、氟化二羟基或氟化多羟基醇、氟化乙二醇以及其他羟基部分来源。在多种实施方案中,逆反应物通过在相邻金属原子之间形成氧桥以与有机金属前体反应。其他有潜力的逆反应物包括硫化氢及二硫化氢,它们可通过硫桥而交联金属原子。形成聚合的有机金属材料的示例性工艺描绘于图1中。
除了有机金属前体及逆反应物之外,薄膜还可包含任选的材料,以修饰膜的化学或物理性质,例如修饰膜对EUV的敏感性或增强蚀刻抗性。这种任选材料可被引入,例如通过在沉积在衬底上之前的气相形成期间、在膜的沉积之后、或两者的掺杂。在一些实施方案中,可引入温和的远程H2等离子体以便通过Sn-H取代一些Sn-L键结,这可增加EUV下的抗蚀剂的反应性。
膜沉积
图2中描绘了用于沉积及处理本技术的膜的示例性工艺。在一些实施方案中,方法包括预处理1以改善膜与衬底的黏附性。随后可在衬底上沉积2EUV膜。
在多种实施方案中,使用本领域已知的气相沉积装置及工艺以在衬底上制造并沉积EUV可图案化的膜。在这些工艺中,聚合的有机金属材料在气相中或原位上(in situ)形成在衬底表面上。合适的工艺包括例如化学气相沉积(CVD)、原子层沉积(ALD)以及ALD与CVD的组合,例如不连续的类ALD工艺,其中金属前体及逆反应物不论在时间上或空间上均为分离的。
通常,方法包括将有机金属前体的蒸汽流与逆反应物的蒸汽流混合,以形成聚合的有机金属材料,并将有机金属材料沉积在半导体衬底的表面上。如本领域技术人员应当理解的,工艺的混合及沉积方面可在基本上连续的工艺中同时进行。
在示例性的连续CVD工艺中,在分开的入口路径中,将有机金属前体及逆反应物源的二或更多个气流引入CVD装置的沉积室,其中它们在气相中混合及反应,以形成附聚的聚合材料(例如,通过金属-氧-金属键的形成)。例如,可使用分离的注射入口或双增压喷头以引入气流。该装置被配置以使逆反应物及有机金属前体的气流在室中混合,使有机金属前体及逆反应物反应以形成聚合的有机金属材料。在不限制本技术的反应机制、功能或效用的情况下,相信来自这种气相反应的产物的分子量将变得较大,因为金属原子通过逆反应物而交联,随后缩合或以其他方式沉积在衬底上。在多种实施方案中,大型烷基的立体障碍会阻止致密堆积网状物的形成并生成多孔的低密度膜。
CVD工艺通常在较低的压强下执行,例如10毫托至10托。在一些实施方案中,该工艺在0.5至2托下执行。衬底的温度优选地等于或低于反应物气流的温度。例如,衬底温度可为0℃至250℃,或环境温度(例如,23℃)至150℃。在各种工艺中,聚合的有机金属材料在衬底上的沉积以与表面温度成反比的速率发生。
形成在衬底表面上的EUV可图案化的膜的厚度可以根据表面特性、所用材料以及加工条件而变化。在多种实施方案中,膜厚度可在0.5nm至100nm的范围内,并优选地具有足够的厚度以在EUV图案化的条件下吸收大部分的EUV光。例如,光致抗蚀剂膜的总吸收率可为30%或更低(例如,10%或更低、或5%或更低),使光致抗蚀剂膜底部的光致抗蚀剂材料充分暴露。在一些实施方案中,膜厚度为10至20nm。在不限制本技术的反应机制、功能或效用的情况下,相信与本领域的湿工艺、旋转涂布工艺不同,本技术的工艺对衬底的表面黏合性质的限制较少,因此可适用于各种衬底。此外,如上所述,沉积的膜可紧密地符合表面特征,在不“填充”或以其他方式平坦化特征的情况下,在形成掩模于衬底(例如,具有下伏特征的衬底)上时提供优点。
EUV图案化
本技术还提供通过将膜的区域暴露于EUV光以将沉积的膜图案化的方法。进一步参考图2,图案化处理4可跟随在膜的任选的沉积后烘烤处理3之后。在这种图案化中,光聚焦在经涂敷的衬底的一或更多区域上。通常执行对EUV的暴露,将使膜包括一或更多个未暴露于EUV光的区域。所得到的膜可包括多个暴露及未暴露区域,产生与生成的半导体设备的晶体管或其他特征一致的图案,该图案通过在膜及衬底的后续处理中从衬底添加或去除材料以形成。可用于在本文中的EUV设备和成像方法包括在本领域已知的方法。
具体而言,如上所述,通过EUV图案化以产生膜的区域,其相对于未暴露区域具有改变的物理或化学性质。例如,在暴露区域,可通过β-氢化物消除以发生金属-碳键的断裂,从而留下具反应性及可接近的金属氢化物官能团,其可通过金属-氧桥转化为氢氧化物及交联的金属氧化物部分,这可用于产生化学对比度,作为负型光致抗蚀剂或作为硬掩模的模板。通常,烷基中较多数量的β-H将导致较敏感的膜。暴露后,可烘烤膜,以引起金属氧化物膜的附加的交联。该反应化学如图1、3及4所描绘的。暴露及未暴露区域之间的性质差异可利用于后续处理,例如溶解未暴露区域或在暴露区域上沉积材料。
这些方法可用于以不同方式进行图案化。进一步参考图2,在一些实施方案中,暴露后烘烤处理5可促进在负型光致抗蚀剂方法中膜内烷基的去除。这种负型光致抗蚀剂方法如图3所描绘。在不限制本技术的反应机制、功能或效用的情况下,EUV暴露,例如,剂量为10mJ/cm2至100mJ/cm2,可缓和立体障碍并提供低密度膜坍塌的空间。此外,在β-氢化物消除反应中产生的具反应性的金属-H键可与相邻的活性基团(例如膜中的羟基)反应,从而导致进一步的交联及致密化,并在暴露及未暴露区域之间产生化学对比度。
随后,此材料对比度可用于后续处理,如图2所示。此处理6可包括湿显影、干显影或区域选择性ALD。例如湿显影或干显影工艺可去除未暴露区域并留下暴露区域。
在湿显影工艺中,暴露区域中的化学变化导致形成更多的交联材料,其具有较大的分子量并在选择性有机溶剂中的溶解度显著降低。可通过使用合适的有机溶剂,例如异丙醇、乙酸正丁酯、或2-庚酮,以去除非交联区域。膜的干沉积的意料之外的好处为薄膜是完全可溶的。在不限制本技术的反应机制、功能或效用的情况下,该好处可能与沉积期间发生的气相聚合/缩合有关,从而可能形成易溶于所选溶剂的环状寡聚物。
还可利用与组成、交联程度以及膜密度相关的差异以进行选择性干蚀刻。在本技术的一些实施方案中,将本技术的膜以气相沉积在衬底上。随后通过EUV的暴露直接对膜进行图案化,并使用干式方法来显影图案以形成含金属氧化物的掩模。在这些工艺中有用的方法及装置被描述于由Volosskiy等人于2008年12月20日提出的美国专利申请62/782,578中(其通过引用并入)。
这种干显影工艺可通过使用温和的等离子体(高压、低能量)或热工艺,并同时流动干显影化学物例如BC13(三氯化硼)或其他刘易斯酸来完成。在一些实施方案中,BC13能快速去除未暴露的材料,留下暴露膜的图案,该图案可通过基于等离子体的蚀刻工艺,例如常规的蚀刻工艺,以转移至下伏层中。
等离子体工艺包括变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP),采用本领域已知的那些装置及技术。例如,可在>5mT(例如,>15mT)的压强下,以<1000W(例如,<500W)的功率电平以执行工艺。温度可为0至300℃(例如,30至120℃),流速为100至1000标准立方厘米每分钟(sccm),例如约500sccm,持续1至3000秒(例如,10-600秒)。
在热显影工艺中,将衬底在真空室(例如烘箱)中暴露于干显影化学物(例如刘易斯酸)。合适的室可包括真空管线、干显影化学气体(例如BC13)管线以及用于控制温度的加热器。在一些实施方案中,室内部可涂布有抗腐蚀膜,例如有机聚合物或无机涂层。一种这样的涂层为聚四氟乙烯((PTFE),例如Teflon 1M)。这种材料可用于此技术的热处理,而不具有通过等离子体暴露而被去除的风险。
在多种实施方案中,本技术的方法通过气相沉积、(EUV)光刻光图案化及干显影以组合所有干式的膜形成步骤。在这样的工艺中,在EUV扫描机中进行光图案化之后,衬底可直接进入干显影/蚀刻室。这些工艺可避免与湿显影相关的材料及生产成本。或者,可在显影室或另一室中执行暴露后烘烤步骤,在此期间暴露区域经受进一步交联以形成较致密的类SnO网状物。
在不限制本技术的反应机制、功能或效用的情况下,本技术的干工艺可提供相对于本领域已知的那些湿显影工艺的各种益处。例如,使用在本文中所述的干气相沉积技术比使用旋转涂布技术可沉积较薄且较无缺陷的膜,并且可简单地通过增加或减少沉积步骤或序列的长短,以调节和控制沉积膜的精确厚度。因此,干工艺可提供较多的可调性并提供进一步的临界尺寸(CD)控制及渣滓(scum)去除。干显影可改善性能(例如,防止因湿显影中的表面张力而引起的线塌陷)及提高产量(例如,通过避免湿显影轨迹)。其他优点可包括排除有机溶剂显影剂的使用、降低对黏附问题的敏感性、及减少基于溶解度的限制。
如图4所描绘的,EUV图案化薄膜也可作为用于硬掩模的区域选择性沉积的模板。在一些实施方案中,从已沉积的有机金属聚合物膜中去除表面烷基,可产生具有多个反应性表面部分的多个区域的图案,这些反应性表面部分可用于与施加至衬底表面的第二材料(例如金属氧化物前体)结合。这些图案可包括亲水性氢化物或氢氧化物暴露表面,及由疏水性的、大型的烷基基团所覆盖的未暴露区域。这些工艺使用相对低剂量的EUV光(例如,1mJ/cm2至40mJ/cm2)。这可通过表面驱动工艺(例如原子层沉积(ALD)及无电镀沉积(ELD))以实现第二材料的选择性沉积。
例如,通过ALD形成硬掩模是表面驱动工艺,其需要成核位点,例如前体可吸附的羟基。在未暴露区域中,表面用大型的烷基封端,大型的烷基对ALD为惰性的且起到空间上阻断羟基的作用。另一方面,暴露区域覆盖有活性氢化物和/或羟基官能基,其可用作ALD工艺的成核位点。表面反应性的差异可用于在暴露区域上选择性地沉积抗蚀刻材料,从而产生硬掩模,以用于可能的干蚀刻/干显影。对于此应用,在EUV暴露下仅需要除去表面烷基。所需的ALD膜厚度的范围可为0.5nm-30nm。ALD前体也可扩散至暴露的光致抗蚀剂中并在暴露区域内成核。ALD可为金属或金属氧化物膜,且ALD沉积温度可在30℃-500℃的范围内,例如30℃-210℃。光致抗蚀剂膜厚度的范围在0.5nm-40nm可以是合适的。在一些实施方案中,较厚的膜可提供一些优点,因为光致抗蚀剂膜坍塌可用于防止ALD膜的蘑菇状结构(mushrooming)。为了将图案转移至下伏层中,可使用等离子体蚀刻工艺。例如,对于Sn-基底的CVD光致抗蚀剂膜,可使用H2或H2/CH4等离子体以去除未暴露的光致抗蚀剂材料。
通过以下的非限制性实施方案以进一步说明本技术的实施方案。
实施例1
使用叔丁基三(二甲基氨基)锡作为有机金属前体及水蒸气作为逆反应物,利用CVD工艺将EUV可图案化的膜沉积在三个硅晶片衬底上。衬底和沉积室壁保持在约70℃的温度。该工艺在约2Torr的压强下执行。
使用氩气载气以约200标准立方厘米/分钟的流速通过起泡器以将有机金属前体引入沉积室。逆反应物是水,使用蒸发器以约50mg/分钟输送。通过两个分离的注射入口将前体引入沉积室,随后在衬底上方的空间中混合。
聚合的有机金属膜沉积在衬底表面上,其具有约40nm的厚度,如下进一步描述。随后将衬底在150℃下烘烤2分钟,并在2-庚酮中显影约15秒,随后使用相同的溶剂进行15秒的清洗。图5a、5b及5c为显影后的衬底的扫描式电子显微镜图像。
具体而言,在劳伦斯伯克利国家实验室(LBNL)的微场暴露工具3(MET3)中使用EUV以约72mJ/cm2的暴露而图案化多个衬底中的两者,以在膜的表面上界定1:1的线间隔特征,分别具有32nm及80nm半节距。所得衬底的图像分别显示在图5a和5b中。使用EUV以约60mJ/cm2的暴露来图案化第三衬底,以在膜的表面上界定34nm的接触通孔。所得衬底的图像显示在图5c中。
实施例2
使用异丙基三(二甲基氨基)锡作为有机金属前体和水蒸气作为逆反应物,使用CVD工艺将EUV可图案化的膜沉积在两个硅晶片衬底上。第二硅晶片具有50nm的无定形碳下层。衬底及沉积室壁保持在约70℃的温度。该工艺在约2Torr的压强下执行。
使用氩气载气以约25标准立方厘米/分钟的流速通过起泡器以将有机金属前体引入沉积室。使用蒸发器以约50mg/分钟输送逆反应物。两前体通过双增压喷头中的两组分离的路径引入沉积室,随后在衬底上方的空间中混合。喷头的温度设定在85℃。
聚合的有机金属膜沉积在衬底表面上,在两个晶片上具有约20nm的厚度。在PaulScherrer Institut(PSI)的EUV干涉光刻(EUV-IL)工具中使用EUV以约75-80mJ/cm2的暴露而图案化第一晶片,以在膜的表面上界定1:1的线/间隔特征,具有26及24nm节距。随后在劳伦斯伯克利国家实验室(LBNL)的微场暴露工具3(MET3)中使用EUV以约64mJ/cm2的暴露而图案化具有无定形碳下层的第二晶片,以在膜的表面上界定1:1的线/间隔特征,具有36nm节距。随后将两衬底在约180℃下烘烤约2分钟,并在2-庚酮中显影约15秒,随后使用相同的溶剂进行15秒的清洗。随后使用氦/氧等离子体工艺将第二硅晶片上的湿显影图案转移至50nm碳下层中。图6a及6b为显影后的第一衬底的扫描式电子显微镜图像,其中图6a显示具有26nm节距的特征的衬底,暴露量为76mJ/cm2,及图6b显示具有24nm节距的特征的衬底,暴露量为79mJ/cm2。图7a及7b为显影后(图7a)及图案转移后(图7b)的第二衬底的扫描式电子显微镜图像。
实施例3
使用异丙基三(二甲基氨基)锡作为有机金属前体及水蒸气作为逆反应物,使用CVD工艺将EUV可图案化的膜沉积在硅晶片衬底上。硅晶片在沉积之前具有50nm深的线/间隔形貌。沉积条件与示例2中描述的工艺相同。
聚合的有机金属膜沉积在衬底表面上,具有约10nm的厚度,覆盖在硅晶片上的形貌。具有现成形貌的晶片在Paul Scherrer Institut(PSI)的EUV干涉光刻(EUV-IL)工具中使用EUV以约70mJ/cm2的暴露而图案化,以界定1:1的线/间隔特征,具有32nm、28nm及26nm三种不同节距。随后将衬底在190℃下烘烤2分钟并在2-庚酮中显影约15秒,随后使用相同的溶剂进行15秒的清洗。图8a、8b及8c为显影后在节距为32nm(图8a)、28nm(图8b)以及26nm(图8c)的硅形貌上印出的抗蚀剂线/间隔图案的扫描式电子显微镜图像。
术语的非限制性讨论
以上描述在本质上仅为说明性的,绝非意图限制本技术、其应用、或用途。本技术的广泛教导可以各种形式实施。因此,尽管本技术包括特定示例,但本技术的真实范围不应受此限制,因为在研究附图、说明书、及所附权利要求后,其他修改将变得显而易见。
在本文中所用的标题(例如“背景技术”及“发明内容”)及子标题仅用于在本技术内的主题的一般架构,并不限制本技术的范围或其中的任何方面。具体而言,在“背景技术”中公开的主题可包括新颖技术,且可不构成先前技术的叙述。在“发明内容”中公开的主题不为本技术的全部范围或本技术任何实施方案的详尽或完整的技术。本说明书的一部分内对材料的分类或讨论是出于方便起见,且当该材料在任何给定的组合中使用时,不应推断该材料必须或仅能根据该材料在本文中的分类而作用。
应当理解,方法中的一或更多步骤可以不同的顺序(或同时)执行,而不改变本技术的原理。此外,尽管上述每一实施方案均具有特定特征,但关于本技术的任何实施方案中所述的这些特征中的任何一或多者,可在任何其他实施方案中实现和/或与任何其他实施方案中的特征组合,即使该组合未经明确地描述。
换言之,所述的实施方案并非相互排斥,且一或更多实施方案的彼此交换仍然在本技术的范围内。例如,可为A、B、C、D、或E或其组合的组成,在一些实施方案中也可被定义为A、B、C或其组合。
当使用在本文中时,短语A、B及C中至少一者应该被解释为使用非排他性逻辑“或”并意指逻辑(A或B或C),且不应被解释为意指:“至少一A、至少一B、及至少一C”。
当使用在本文中时,词语“优选的”或“可优选的”意指本技术的实施方案在特定情况下所提供的特定益处。然而,在相同或其他情况下,其他实施方案也可为优选的。此外,对一或更多优选的实施方案的描述并不意味着其他实施方案为无用的,且不将其他实施方案排除在本技术的范围外。
当使用在本文中时,词语“包括”及其变体为非限制性的,因此所列项目的叙述并不排除在本技术中的材料、组合、设备、及方法中也可有效的其他类似项目。类似地,词语“能够”及“可”及其变体为非限制性的,因此实施方案能够或可包括某些元件或特征的叙述,并不排除不包含这些元件或特征的本技术其他实施方案。
虽然在本文中使用开放式词语“包括”作为非限制性词语(例如包含、含有、或具有)的同义词以描述及主张本技术的实施方案,但可替换使用更多的限制性词语(例如“由.....构成”或“基本上由.....构成”)以描述实施方案。因此,对于叙述到材料、构件或工艺步骤的任何给定的实施方案,本技术也具体地包括由这些材料、构件或工艺所构成的实施方案,并排除额外的材料、构件或工艺;或包括基本上由这些材料、构件或工艺所构成的实施方案,并排除会影响实施方案的重要性质的额外的材料、构件或工艺,即使在本申请案中没有明确地叙述这些额外的材料、构件或工艺。例如,叙述组件A、B及C的组成或过程的叙述被具体地设想成由A、B及C所构成或基本上由A、B及C所构成的实施方案,并排除可在本领域中被叙述的元件D,尽管在本文中并未明确地描述元件D为被排除。此外,当使用在本文中时,词组“基本上由”所述材料或组分所“构成”被设想成实施方案“由”所述材料或组分所“构成”。
在本文中所使用的“一(a)”和“一(an)”表示该项目存在“至少一者”;在可能的情况下,可存在多个此项目。
在本文中所述的数值应理解为近似的,并被解释为大约为所述的数值,无论该数值是否使用词语“约”来修饰。因此,例如参数可具有数值“X”的叙述应被解释为该参数可具有数值“约X”。当使用“约”于数值时,表示计算或测量容许数值的略微不精确(靠近该数值的精确;近似地或合理地接近该数值;几乎)。如果由于某些原因,“约”所述的不精确性并未在本领域中以这种一般含义所理解,那么在本文中所用的“约”表示可能由制造、测量或使用材料、设备或其他适用于计算或测量的对象的一般方法所产生的变化。
当在本文中提及时,除非另有说明,否则范围包括端点并包括所有不同数值及在整个范围内进一步划分的范围。因此,例如“从A至B”或“从约A至约B”的范围包括A及B。此外,“从约A至约B”的说法包括A及B的数值的变化,数值可略小于A且略大于B;该说法可解读为“为约A,从A至B,且为约B”。特定参数(例如温度、分子量、重量百分比等)的数值及数值范围的技术,不排除其他可用于本文中的数值及数值范围。
还可设想,对于给定参数的二或更多个特定的示例性数值也可界定用于主张该参数的数值范围的端点。例如,如果参数X在本文中示例性地具有数值A且示例性地具有数值Z,则可设想为参数X可具有从约A至约Z的数值范围。类似地,设想参数的二或更多个数值范围(无论这些范围为嵌套的、重叠的或不同的)的技术包括所有数值范围的可能组合,数值可用所公开的范围的端点以主张。例如,如果参数x在本文中示例性地具有1-10、或2-9、或3-8的数值范围,则也可设想参数X可具有其他数值范围,包括1-9、1-8、1-3、1-2、2-10、2-8、2-3、3-10、及3-9。

Claims (20)

1.一种用于在衬底的表面上制造EUV可图案化膜的方法,其包括:
将有机金属前体的蒸气流与逆反应物的蒸气流混合,以形成聚合的有机金属材料;以及
将所述有机金属材料沉积在所述衬底的所述表面上以形成所述EUV可图案化膜。
2.根据权利要求1所述的方法,其中所述有机金属前体具有化学式
MaRbLc
其中:M为具有为l×l07cm2/mol或大于l×l07cm2/mol的原子吸收横截面的金属,R为烷基,例如CnH2n+1,其中n≥3;L是与所述逆反应物反应的配体、离子或其他部分;a≥1;b≥1;并且c≥1。
3.根据权利要求2所述的方法,其中M选自于由锡、铋、锑及其组合所构成的群组;R选自于由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、异戊基、正戊基、叔戊基、仲戊基及其混合物所构成的群组;并且L选自于由胺、烷氧基、羧酸盐、卤素及其混合物所构成的群组。
4.根据权利要求1或3所述的方法,其中所述有机金属前体为叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基(三)二甲基氨基锡、仲丁基三(二甲基氨基)锡、异丙基(三)二甲基氨基锡、正丙基(三)二乙基氨基锡以及类似的烷基(三)(叔丁氧基)锡化合物。
5.根据前述权利要求中任一项所述的方法,其中所述有机金属前体被部分地氟化。
6.根据前述权利要求中任一项所述的方法,其中所述逆反应物选自于由水、过氧化氢、二羟基或多羟基醇、硫化氢、二硫化氢、三氟乙醛一水合物、氟化二羟基醇或氟化多羟基醇以及氟化乙二醇所构成的群组。
7.根据前述权利要求中任一项所述的方法,其中所述混合和沉积在连续化学气相沉积工艺中执行。
8.根据前述权利要求中任一项所述的方法,其中所述衬底包括下伏的形貌特征。
9.一种在半导体衬底的表面上形成光刻掩模前体的方法,其包括:
将有机金属前体的蒸气流与逆反应物的蒸气流混合,以形成聚合的有机金属材料;
在所述半导体衬底的所述表面上沉积所述有机金属材料以形成EUV可图案化膜;
任选地,加热所述膜;
将所述EUV可图案化膜的区域暴露于EUV光以形成暴露膜区域,使得所述EUV可图案化膜还包括未暴露于所述EUV光的未暴露膜区域;以及
任选地加热所述EUV可图案化膜以形成包括所述暴露区域以及所述未暴露区域的掩模前体。
10.根据权利要求9所述的方法,其中所述掩模前体的所述暴露区域为不能溶于选定的溶剂,且所述掩模前体的所述未暴露区域能溶于所述溶剂中。
11.根据权利要求10所述的方法,其还包括用所述溶剂去除所述掩模前体的所述未暴露区域。
12.根据权利要求9或10所述的方法,其中所述掩模前体的所述暴露区域包括具反应性的表面部分。
13.根据权利要求12所述的方法,其还包括在所述暴露区域的所述表面上选择性地沉积第二材料,其中在所述暴露区域和所述未暴露区域之间的溶解度对比或蚀刻选择性将增加。
14.根据权利要求13所述的方法,其中所述第二材料的所述沉积使用原子层沉积工艺执行。
15.根据权利要求9或14所述的方法,其还包括在所述暴露后干显影所述EUV可图案化膜。
16.根据权利要求9-15中任一项所述的方法,其中所述有机金属前体具有化学式
MaRbLc
其中:M为具有为l×l07cm2/mol或大于l×l07cm2/mol的原子吸收横截面的金属,R为烷基,例如CnH2n+1,其中n≥3;L是与所述逆反应物反应的配体、离子或其他部分;a≥1;b≥1;并且c≥1。
17.根据权利要求16所述的方法,其中M选自于由锡、铋、锑及其组合所构成的群组;R选自于由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、异戊基、正戊基、叔戊基、仲戊基及其混合物所构成的群组;并且L选自于由胺、烷氧基、羧酸盐、卤素及其混合物所构成的群组。
18.根据权利要求9-17中任一项所述的方法,其中所述有机金属前体为叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基(三)二甲基氨基锡、仲丁基三(二甲基氨基)锡、异丙基(三)二甲基氨基锡、正丙基(三)二乙基氨基锡以及类似的烷基(三)(叔丁氧基)锡化合物。
19.一种用于在半导体衬底的表面上形成光刻掩模前体的方法,其包括:
(a)将有机金属前体的蒸气流与逆反应物的蒸气流混合,以形成聚合的有机金属材料,其中
(i)所述有机金属前体具有化学式
MaRbLc
其中:M为具有为l×l07cm2/mol或大于l×l07cm2/mol的原子吸收横截面的金属;R为烷基,例如CnH2n+1,其中n≥3;L是与所述逆反应物反应的配体、离子或其他部分;a≥1;b≥1;并且c≥1;以及
(ii)所述逆反应物选自于由水、过氧化物(例如,过氧化氢)、二羟基醇或多羟基醇、氟化二羟基醇或氟化多羟基醇、氟化乙二醇及其混合物所构成的群组;
(b)在所述半导体衬底的所述表面上沉积所述有机金属材料以形成EUV可图案化膜;
(c)任选地,加热所述膜;
(d)将所述EUV可图案化膜的区域暴露于EUV光以形成暴露膜区域,使得所述EUV可图案化膜还包括未暴露于所述EUV光的未暴露膜区域;以及
(e)干显影所述EUV可图案化膜。
20.根据权利要求9-19中任一项所述的方法,其中所述有机金属前体被部分地氟化。
CN201980028279.5A 2018-05-11 2019-05-09 制造euv可图案化硬掩模的方法 Pending CN112020676A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862670644P 2018-05-11 2018-05-11
US62/670,644 2018-05-11
US201862782578P 2018-12-20 2018-12-20
US62/782,578 2018-12-20
PCT/US2019/031618 WO2019217749A1 (en) 2018-05-11 2019-05-09 Methods for making euv patternable hard masks

Publications (1)

Publication Number Publication Date
CN112020676A true CN112020676A (zh) 2020-12-01

Family

ID=68468437

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980028279.5A Pending CN112020676A (zh) 2018-05-11 2019-05-09 制造euv可图案化硬掩模的方法

Country Status (8)

Country Link
US (1) US20210013034A1 (zh)
EP (1) EP3791231A4 (zh)
JP (2) JP2021523403A (zh)
KR (1) KR20200144580A (zh)
CN (1) CN112020676A (zh)
SG (1) SG11202009703QA (zh)
TW (1) TW202006168A (zh)
WO (1) WO2019217749A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022218315A1 (zh) * 2021-04-14 2022-10-20 华为技术有限公司 图案化材料、图案化组合物和图案形成方法

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI639179B (zh) 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114026501A (zh) * 2019-06-26 2022-02-08 朗姆研究公司 利用卤化物化学品的光致抗蚀剂显影
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7189375B2 (ja) * 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US20230031955A1 (en) * 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
DE102021101486A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
TWI817463B (zh) * 2020-07-03 2023-10-01 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
JP7382512B2 (ja) * 2020-07-07 2023-11-16 ラム リサーチ コーポレーション 照射フォトレジストパターニングのための統合乾式プロセス
WO2022016127A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Photoresists from sn(ii) precursors
US20230259025A1 (en) * 2020-07-17 2023-08-17 Lam Research Corporation Dry deposited photoresists with organic co-reactants
KR20230051769A (ko) * 2020-07-17 2023-04-18 램 리써치 코포레이션 탄탈륨을 함유하는 포토레지스트들
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US20230314946A1 (en) * 2020-07-17 2023-10-05 Lam Research Corporation Method of forming photo-sensitive hybrid films
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20230416606A1 (en) * 2020-12-08 2023-12-28 Lam Research Corporation Photoresist development with organic vapor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024504730A (ja) * 2021-01-28 2024-02-01 インテグリス・インコーポレーテッド 有機スズ化合物を調製する方法
CN116888536A (zh) * 2021-02-23 2023-10-13 朗姆研究公司 含卤素及脂肪族的有机锡光致抗蚀剂及其方法
US20220291587A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
KR20230170786A (ko) * 2021-04-23 2023-12-19 엔테그리스, 아이엔씨. 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
WO2022266421A1 (en) * 2021-06-18 2022-12-22 Entegris, Inc. Process for preparing organotin compounds
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230098280A1 (en) * 2021-09-14 2023-03-30 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds
WO2023235416A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
WO2023245047A1 (en) * 2022-06-17 2023-12-21 Lam Research Corporation Tin precursors for deposition of euv dry resist
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
EP3865492A1 (en) * 2014-10-23 2021-08-18 Inpria Corporation Organometallic solution based high resolution patterning compositions
IL254225B2 (en) * 2015-03-09 2024-03-01 Versum Mat Us Llc A process for depositing porous organosilicate glass layers for use as random access resistant memory
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10755942B2 (en) * 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022218315A1 (zh) * 2021-04-14 2022-10-20 华为技术有限公司 图案化材料、图案化组合物和图案形成方法

Also Published As

Publication number Publication date
EP3791231A4 (en) 2022-01-26
KR20200144580A (ko) 2020-12-29
JP2021523403A (ja) 2021-09-02
EP3791231A1 (en) 2021-03-17
TW202006168A (zh) 2020-02-01
SG11202009703QA (en) 2020-10-29
JP2024045257A (ja) 2024-04-02
WO2019217749A1 (en) 2019-11-14
US20210013034A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
CN112020676A (zh) 制造euv可图案化硬掩模的方法
US8465903B2 (en) Radiation patternable CVD film
US11921427B2 (en) Methods for making hard masks useful in next-generation lithography
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
TWI632437B (zh) 用於形成凸紋影像的方法
KR20210122649A (ko) 반도체 디바이스 제조 방법
TW202230469A (zh) 用於微影應用之光阻層上碳的選擇性沉積
KR20220003967A (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
KR20220010438A (ko) 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20210122675A (ko) 반도체 디바이스 제조 방법
CN113113292A (zh) 制造半导体器件的方法
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US20240142869A1 (en) Aluminum oxide carbon hybrid hardmasks and methods for making the same
US20240145245A1 (en) Aluminum oxide carbon hybrid hardmasks and methods for making the same
US20240142870A1 (en) Aluminum oxide carbon hybrid hardmasks and methods for making the same
WO2023086299A1 (en) Euv active films for euv lithography

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
CB03 Change of inventor or designer information
CB03 Change of inventor or designer information

Inventor after: Wu Chenghao

Inventor after: Timothy William Wedman

Inventor after: Katie Nardi

Inventor before: Wu Chenghao

Inventor before: Timothy William Wedman

Inventor before: Katie Nardi

SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination