TWI428958B - 形成光微影圖案之方法 - Google Patents

形成光微影圖案之方法 Download PDF

Info

Publication number
TWI428958B
TWI428958B TW100107276A TW100107276A TWI428958B TW I428958 B TWI428958 B TW I428958B TW 100107276 A TW100107276 A TW 100107276A TW 100107276 A TW100107276 A TW 100107276A TW I428958 B TWI428958 B TW I428958B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
developer
photoresist
exposure
Prior art date
Application number
TW100107276A
Other languages
English (en)
Other versions
TW201214508A (en
Inventor
康徐強
夏洛特 考特洛
Original Assignee
羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅門哈斯電子材料有限公司 filed Critical 羅門哈斯電子材料有限公司
Publication of TW201214508A publication Critical patent/TW201214508A/zh
Application granted granted Critical
Publication of TWI428958B publication Critical patent/TWI428958B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Liquid Crystal (AREA)

Description

形成光微影圖案之方法
本發明通常係關於電子裝置之製造。更具體言之,本發明係關於光微影製程,該製程允許使用負調(negative tone)顯影製程形成精細圖案,該顯影製程使用特別的有機材料作為顯影劑。
於半導體製造工業中,係使用光阻劑材料將影像轉移至置於半導體基板上之一層或多層下方層,如金屬、半導體及介電層上,以及轉移至該基板本身。為了增加半導體裝置之積體密度並允許形成具奈米(nm)範圍之維度的結構,業經並將繼續研發具有高解析度能力之光阻劑及光微影加工工具。
用以於半導體裝置中達成奈米規格之特徵尺寸的一個方法為,於化學增幅型光阻劑之曝光過程中使用短波長(如193 nm或更短波長)之光。浸潤微影有效地增加成像裝置(如具有KrF或ArF光源之掃描儀)之透鏡的數值孔徑(NA)。此係藉由在該成像裝置透鏡之最後表面與該半導體晶圓之上表面之間使用相對高折射率之流體(亦即,浸潤流體)予以完成。該浸潤流體允許較使用空氣或惰性氣體介質應出現者更大量之光聚焦於該阻劑層中。當使用水作為浸潤流體時,最大數值孔徑可增加,舉例而言,自1.2增加至1.35。隨著此數值孔徑之增加,可能於單一曝光製程中達成40nm半節距(half-pitch)解析度,因此允許改善之設計收縮。然而,此標準浸潤微影製程通常不適用於製造需要更大解析度之裝置,如32nm及22nm半節距節點所需者。
於用以達成更大解析度及用以拓展現有製造工具之能力的嘗試中,業經建議先進之圖案化技術,如雙圖案化(double patterning)(亦指代為節距分裂(pitch splitting))。然而,各種雙圖案化技術受苦於下述缺點,包括,舉例而言,下列之一種或多種:由於在光微影加工模組與刻蝕加工模組之間來回運輸晶圓以及該刻蝕及阻劑移除製程本身造成之污染及缺陷性的增加;由於製程步驟數目之增加而造成的生產通量的降低;以及由於過高之阻劑硬化溫度造成的阻劑圖案變形。
另一種用於獲得精細微影圖案之圖案化技術係包括傳統正型化學增幅型光阻劑的負調顯影。此等阻劑典型係採用具有酸不穩定基樹脂及光酸產生劑。曝光至光化輻射係造成該酸產生劑產生酸,該酸於曝光後烘烤過程中造成該樹脂中酸不穩定基之裂解。這於該阻劑之經曝光區域與未曝光區域之間造成溶解度特徵不同。於使用水性鹼性顯影劑如氫氧化四甲基銨(TMAH)之常規顯影製程中,該阻劑之經曝光區域係可溶解於該顯影劑中並自該基板表面移除,而不可溶解於該顯影劑之未曝光區域於顯影之後保留,以形成正影像。於負調顯影中,可藉由在特定有機溶劑中顯影之傳統正型阻劑獲得負影像。此製程係於,舉例而言,授予Goodall等人之美國專利第6,790,579號中揭示。該文檔揭露一種光阻劑組成物,係包含酸產生起始劑及含有沿著聚合物骨架之再硬化不穩定側基的多環聚合物。可使用鹼性顯影劑選擇地移除經曝光之區域,或者藉由以用於負調顯影之適當之非極性溶劑處理而選擇地移除未曝光之區域。
當前建議之用於負調顯影的顯影劑為乙酸正丁酯(NBA)。然而,由於種種原因,此等材料之使用係非所欲者。自安全之立場來看,NBA之問題在於其具有相對低的閃點(22℃),由於加工設備典型係具有移動中之機械性及電性元件(可產生點燃溶劑蒸汽-空氣混合物之電火花或靜電火花),可能造成起火及爆炸之危害。此外,業經發現,當使用NBA時,曝光幅度相對低,從而提供低於所欲之加工窗。
於該技術領域中,對於解決前述之一個或多個與該技術領域之狀態相關之問題的光微影圖案化製程以及允許在電子裝置製造中形成精細圖案之光微影圖案化製程仍有持續需求。
根據本發明之第一種態樣,係提供形成光微影圖案之方法。該等方法係包含:(a)提供基板,該基板係包含位於其表面上之一層或多層待圖案化之層;(b)將一層光阻劑組成物之層施加至該一層或多層待圖案化之層上,該光阻劑組成物係包含具有酸可裂解基之樹脂及酸產生劑;(c)將該光阻劑組成物層圖案式曝光於光化輻射;以及(d)將顯影劑施加至該光阻劑組成物層,其中,藉由該顯影劑移除該光阻劑層之未曝光部份,於該一層或多層待圖案化之層上留下光阻劑圖案。該顯影劑係包含2-庚酮及/或5-甲基-2-己酮。
根據本發明之又一種態樣,係提供一種電子裝置,其係藉由本文所揭示之方法形成。
根據本發明之又一種態樣,係提供一種經塗覆之基板。該塗覆之基板係包含:基板,包含位於其表面上之一層或多層待圖案化之層;位於該一層或多層待圖案化之層上之光阻劑組成物的經曝光層,該光阻劑組成物係包含具有酸可裂解基之樹脂及酸產生劑;以及與該光阻劑組成物層的經曝光層接觸之顯影劑溶液,其中,該顯影劑係包含2-庚酮及/或5-甲基-2-己酮。
參照後附之圖式討論本發明,其中,相似之數字表示相似之特徵。
現在參照第1A圖至第1E圖描述本發明,該等圖式係例示性說明藉由根據本發明之負調顯影形成光微影圖案的第一種例示性製程。
第1A圖詳細說明可包括各種層及特徵之基板100的橫截面。該基板可係例如半導體(如矽或化合物半導體,如III-V或II-VI)、玻璃、石英、陶瓷、銅等材料。典型地,該基板係半導體晶圓,如單晶矽或化合物半導體晶圓,可具有一層或多層以及形成於其表面上的圖案化特徵。一層或多層待圖案化之層102可提供於該基板100上。視需要,可將下方底基板材料本身圖案化,舉例而言,當所欲者係於該基板材料中形成溝槽時。於將底基板材料本身圖案化之例中,應認為該圖案係形成於該基板層中。
該等層可包括,舉例而言,一層或多層導電層,如鋁、銅、鉬、鉭、鈦、鎢、此等金屬之合金、氮化物或矽化物、經摻雜之非晶矽或經摻雜之多晶矽;一層或多層介電層,如氧化矽、氮化矽、氮氧化矽、或金屬氧化物之層;半導體層如單晶矽;及其組合。該等待刻蝕之層可藉由多種技術形成之,舉例而言,化學氣相沉積(CVD)如電漿增強CVD、低壓CVD或外延生長,物理氣相沉積(PVD)如濺射或蒸發,或電鍍。該一層或多層待刻蝕之層102的特定厚度將取決於所形成之材料及特定裝置而變化。
取決於特定之待刻蝕層、膜厚度及待使用之光微影材料及製程,所欲者係於該等層102上設置硬罩層104及/或底部抗反射塗層(BARC)106,於其上,待塗覆有光阻劑層108。使用硬罩層104可係所欲者,舉例而言,當阻劑層非常薄,而其中,該等待刻蝕之層需要顯著之刻蝕深度,及/或特定之刻蝕劑係具有極差之阻劑選擇性時。當使用硬罩層時,待形成之該阻劑圖案可轉移至該硬罩層,接著,該硬罩層係作為用於刻蝕下方層102之遮罩。適當之硬罩材料及形成方法係技術領域中已知者。典型之材料係包括,舉例而言,鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氮氧化鋁、氧化鉿、非晶碳、氮氧化矽及氮化矽。該硬罩層104可包括單層或不同材料之複數層。該硬罩層可藉由,舉例而言,化學氣相沉積技術或物理氣相沉積技術形成。
底部抗反射塗層106可係所欲者,否則該基板及/或下方層將於光阻劑曝光過程中反射大量入射之輻射,而將對所形成之圖案的品質產生負面影響。此等塗層可提升聚焦深度、曝光幅度、線寬一致性及CD控制。抗反射塗層典型係用於下述情況:該阻劑係曝光於深紫外光(300nm或更短),舉例而言,KrF準分子雷射光(248nm)或ArF準分子雷射光(193nm)。該抗反射塗層106可包含單層或複數層不同之層。適當之抗反射材料及形成方法係技術領域中已知者。抗反射材料係可商購者,舉例而言,彼等由羅門哈斯電子材料公司(Rohm and Haas Electronic Materials LLC(Marlborough,MA USA))於ARTM 商標下販售者,如ARTM 40A及ARTM 124抗反射材料。
將光阻劑組成物施加於該基板上之該抗反射層106(若存在)上,以形成光阻劑層108。該光阻劑組成物係包括包含酸可裂解基之樹脂及光酸產生劑。當以水性鹼性顯影劑顯影時,該光阻劑組成物典型係正作用材料,但當於特定之有機顯影劑中顯影時,該光阻劑組成物係負作用材料。適當之光阻劑材料係技術領域中已知者,包括,舉例而言,彼等基於丙烯酸酯、酚醛樹脂及矽系化學品者。適當之阻劑係於,舉例而言,美國專利申請案公開第US20090117489 A1號、第US20080193872 A1號、第US20060246373 A1號、第US20090117489 A1號及第US20090123869 A1號及美國專利第7,332,616號中揭示。適當之材料係包括化學增幅光阻劑,其進行該組成物中一種或多種成分之酸不穩定基的光酸促進去保護反應,以使得該阻劑塗層之經曝光區域較未曝光區域更容易溶解於水性顯影劑中。該光阻劑樹脂之典型之光酸不穩定基係包括酯基,該酯基係含有共價鏈結至該酯之羧基氧的三級非環狀烷基碳(如,第三丁基)或三級脂環碳(如,甲基金剛烷基)。縮醛光酸不穩定基亦係典型者。
該第一光敏組成物之樹脂較佳係具有賦予該阻劑組成物可使用鹼性水性顯影劑顯影之性質的官能基。舉例而言,典型係包含極性官能基(如羥基或羧酸基(carboxylate))之樹脂黏合劑。該樹脂成分係以足以使得未曝光之區域可於有機顯影劑溶液中顯影之量用於該組成物中。該樹脂成分典型係佔該阻劑之總固體的約70至約97重量%。
該光敏組成物進一步包含光酸產生劑(PAG),其所使用之量係足以在曝光於活化輻射時於該組成物之塗層中產生潛像(latent image)。舉例而言,該光酸產生劑將適當地以該阻劑之總固體之約1至20重量%的量存在。適當之PAG係化學增幅光阻劑技術領域中已知者,其包括,舉例而言,鎓鹽,如三苯基硫鎓鹽,硝基苄基衍生物,磺酸酯,重氮甲烷衍生物,乙二肟(glyoxime)衍生物,N-羥基醯亞胺化合物之磺酸酯衍生物,以及含鹵素之三化合物。
該光阻劑組成物可進一步包括其他之添加鹼(added base),特別是氫氧化四丁基銨(TBAH)或乳酸四丁基銨,其可增強經顯影之阻劑浮雕影像的解析度。對於在193nm成像之阻劑,典型之添加鹼係受阻胺(hindered amine),如二氮雜雙環十一烯或二氮雜雙環壬烯。該添加鹼係適當地以相對小量使用,如相對於總固體,約0.03至5重量%。
於本發明之方法中使用之光阻劑也可含有界面活性劑。典型之界面活性劑係包括彼等展現兩性特性者,意指他們同時具有親水性及疏水性兩者。兩性界面活性劑係具有一個或多個親水性頭基,該親水性頭基具有對於水之強親和性;以及長的疏水性尾,該疏水性尾係親有機物且拒水。適當之界面活性劑可係離子性(亦即,陰離子性,陽離子性)或非離子性。界面活性劑之進一步之實施例係包括矽酮(silicone)界面活性劑、聚環氧烷界面活性劑、及氟化物界面活性劑。用於該水溶液中之適當之非離子性界面活性劑係包括,但不限於,辛基及壬基酚乙氧基化物,如X-114、X-100、X-45、X-15,以及分支鏈二級醇乙氧基化物,如TERGITOLTM TMN-6(The Dow Chemical Company,Midland,Michigan USA)。界面活性劑之再進一步之實施例係包括醇(初級及二級)乙氧基化物、胺乙氧基化物、糖苷、還原葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或其他於製造商糖果出版公司(Manufacturers Confectioners Publishing Co. of Glen Rock,N. J.)於2000年印行之北美版《麥氏乳化劑及洗滌劑》(McCutcheon’s Emulsifiers and Detergents,North American Edition)中揭露之其他界面活性劑。
作為乙炔系二醇衍生物之非離子性界面活性劑以可係適當者,包括下列式之彼等界面活性劑:
其中,R1 與R4 係具有3個至10個碳原子之直鏈或分支鏈烷基鏈;R2 與R3 或為H或適當地具有1個之5個碳原子之烷基鏈;以及m、n、p及q係0至20範圍之數字。此界面活性劑係可自空氣產品及化學品公司(Air Products and Chemicals,Inc. of Allentown,Pa.)商購者,商品名為
另外之適當之界面活性劑係包括其他聚合物化合物,如三元嵌段EO-PO-EO共聚物25R2、L121、L123、L31、L81、L101及P123(BASF,Inc.)。
該光阻劑可包括額外之視需要之材料。舉例而言,其他視需要之添加劑係包括抗條紋劑(anti-striation agent)、塑化劑及增速劑。除了填料及染料可以相對大濃度存在外,此等視需要之添加劑典型將以較小濃度存在於光阻劑組成物中,例如,為阻劑乾燥成分之總重量的0.1至10重量%。
適用於本發明之光阻劑通常係藉由下列已知方法製備。舉例而言,阻劑可藉由將光阻劑之成分溶解於適宜溶劑中而製備為塗佈組成物,該溶劑為諸如二醇醚如2-甲氧基乙醚(二甘二甲醚)、乙二醇單甲醚、丙二醇單甲醚;丙二醇單甲醚乙酸酯;乳酸酯如乳酸乙酯或乳酸甲酯;丙酸酯,尤其是丙酸甲酯、丙酸乙酯及乙氧基丙酸乙酯;賽路蘇酯(Cellosolve ester)如乙酸甲賽路蘇酯;芳族烴如甲苯或二甲苯;或酮如甲基乙基酮、環己酮及2-庚酮。該光阻劑之固體含量典型係於該光阻劑組成物之總重量的約2至25重量%間變化。此等溶劑之摻合物亦為適宜者。
本發明之方法可使用各種成像波長,舉例而言,具有低於400nm(sub-400nm)、低於300nm或低於200nm曝光波長的輻射,以及EUV(13.5nm)及157nm,且I-線(365nm)、248nm及193nm係典型之曝光波長。於例示性態樣中,該等光阻劑係適用於在低於200nm波長如193nm成像。於此等波長,儘管可使用非浸潤加工,典型仍使用浸潤微影術。於浸潤微影術中,於曝光過程中,係將具有界於約1與約2之間之折射率的流體(亦即,浸潤流體)維持在曝光工具與該光阻劑層之間。頂塗層典型係置於該光阻劑層上,以防止該浸潤流體與光阻劑層之間的直接接觸,以避免該光阻劑成分浸析入該浸潤流體中。
可藉由旋塗、浸塗、輥塗或其他常規塗覆技術將該光阻劑組成物施加至基板上。其中,典型係旋塗。對於旋塗,可基於所使用之具體塗覆設備、該溶液之黏度、該塗覆工具之速度及容許旋塗之時間量調節該塗覆溶液之固體成分,以提供所欲之膜厚度。該光阻劑層108之典型厚度係約500至1500埃()。可隨後軟烘烤該光阻劑層以最小化該層之溶劑成分,從而形成無黏度塗層並改善該層對該基板之黏合性。可於熱板上或烘箱中施行該軟烘烤,且熱板係典型者。該軟烘烤溫度及時間將取決於例如該光阻劑之特定材料及厚度。典型之軟烘烤係於約90℃至150℃之溫度施行,軟烘烤時間為約30至90秒。
若將使用浸潤微影工具(如193nm浸潤掃描儀)將該光阻劑層108曝光,可將頂塗層(未顯示)置於該光阻劑層108之上。使用此頂塗層可作為界於該浸潤流體與下方光阻劑層之間的阻障。藉此方式,可最小化或避免該光阻劑組成物之成分浸析進入該浸潤流體中,從而避免該浸析可能導致的該光學透鏡之污染以及該浸潤流體之有效折射率及透光性質之改變。適當之頂塗組成物係可商購者,舉例而言,OPTICOATTM 頂塗材料如OCTM 2000(Rohm and Haas Electronic Materials),以及其他為技術領域中已知者,舉例而言,彼等於美國專利申請案公開第2006/0246373A1號及於2009年12月31日遞交之美國臨時申請案第12/655,598號中揭示者。可藉由任何適當之方法將此等組成物施加至該光阻劑層上,如上揭之關於該光阻劑組成物述及者,且旋塗係典型者。該頂塗層厚度典型為λ/4n(或其奇數倍數),其中,λ為曝光輻射之波長且n係該頂塗層之折射率。若存在頂塗層,可於業經施加該頂塗層組成物之後而非頂塗層施加之前,軟烘烤該光阻劑層108。藉此,可於單一熱處理步驟中將溶劑自兩層中移除。
隨後,透過第一光罩112將該光阻劑層108曝光於活化輻射110,以產生經曝光區域與未曝光區域之間溶解度的不同。該光罩係具有透光區域113及不透光區域114,於後續之顯影步驟中,該等區域分別對應於光敏層之待保留及待移除之區域。該曝光能量典型係約20至80毫焦耳(mJ)/平方公分(cm2 ),取決於曝光工具及該光敏組成物之成分。本文中,將光阻劑組成物曝光於用於活化該組成物之輻射,係表明該輻射能於該光阻劑組成物中形成潛像。該光敏組成物典型係藉由短曝光波長予以光活化,該曝光波長尤其為低於400nm、低於300nm或低於200nm曝光波長以及EUV(13.5nm)及157nm,且I-線(365nm)、248nm及193nm係典型之曝光波長。
如第1B圖中所示,經曝光之阻劑層係由未曝光之區域108a及經曝光之區域108b所組成。於該光阻劑層108曝光之後,典型係於高於該層之軟化點的溫度進行曝光後烘烤(PEB)。舉例而言,可於熱板上或烘箱中施行該PEB。用於該PEB之條件將取決於,舉例而言,該光阻劑層之特定材料及厚度。典型係於約80℃至150℃之溫度施行該PEB,施行時間為約30至90秒。
隨後,將經曝光之光阻劑層顯影以移除未曝光區域108a,留下經曝光區域108b,形成如第1C圖中顯示之阻劑圖案。該顯影劑係包含2-庚酮、5-甲基-2-己酮或其組合。2-庚酮與5-甲基-2-己酮係具有相對高的閃點,分別為39℃及40℃,從而避免與材料(如乙酸正丁酯)之可燃性相關的問題。該顯影劑可為2-庚酮或5-甲基-2-己酮、或該等材料之一者或兩者與其他顯影劑及/或添加劑之組合。該2-庚酮或5-甲基-2-己酮可以實質上純材料存在,舉例而言,基於該顯影劑之總重,其量為大於95重量%、大於98重量%或大於99重量%。於該例中,2-庚酮及/或5-甲基-2-己酮係與另一種顯影劑溶劑組合使用,該等溶劑之沸點較佳係相近者。適當之額外之溶劑係包括,舉例而言,乙二醇單甲醚、乳酸乙酯、3-乙氧基丙酸乙酯、甲基乙基酮、環己酮或用於該光阻劑組成物之溶劑。基於該顯影劑之總重,存在於該顯影劑中之該2-庚酮及/或5-甲基-2-己酮之組合量典型為50重量%至100重量%,更典型80重量%至100重量%。
該顯影劑材料可包括視需要之添加劑,舉例而言,界面活性劑如上揭關於該光阻劑述及者。此等視需要之添加劑典型將以小濃度存在,舉例而言,基於該顯影劑之總重,約0.01至5重量%之量。
可藉由已知技術,如旋塗或漿式塗覆(puddle-coating)將該顯影劑施加至基板上。該顯影時間為有效移除該光阻劑之未曝光區域的一段時間,典型係5至30秒之時間,且典型係於室溫施行。
較佳地,該顯影製程可不使用顯影之後之清潔沖洗而予以施行。以此觀點,業經發現,該顯影製程可導致無殘質之晶圓表面,使得此額外之沖洗步驟變成不必要者。
若存在該BARC層106,則使用阻劑圖案108b作為刻蝕罩來選擇地刻蝕該BARC層106,暴露下方之硬罩層104,如第1D圖中所示。接著,再次使用該阻劑圖案108b作為刻蝕罩選擇地刻蝕該硬罩層,而得經圖案化之BARC層106’及經圖案化之硬罩層104’。用於刻蝕該BARC層及硬罩層之適當之刻蝕技術及化學品係技術領域中已知者,並將取決於,舉例而言,此等層之特定材料。典型係乾刻蝕製程如反應性離子刻蝕。接著,使用已知技術如氧電漿灰化自該基板移除該阻劑圖案108b及經圖案化BARC層106’。
使用該硬光圖案104’作為刻蝕罩,選擇地刻蝕該一層或多層102。用於刻蝕該下方層102之適當之刻蝕技術及化學品係技術領域中已知者,且典型者係乾刻蝕製程如反應性離子刻蝕。接著,可使用已知技術如乾刻蝕製程如反應性離子刻蝕將經圖案化之硬光層104’自該基板表面移除。所得結構為經蝕刻特徵102’之圖案,如第1E圖中例示性說明者。於另一種例示性方法中,所欲者可係直接使用該阻劑圖案108b而不使用硬罩層104將該層102圖案化。是否採用直接圖案化將取決於諸如所包括之材料、阻劑選擇性、阻劑圖案厚度及圖案維度之因素。
本發明之又一種例示性態樣將參照第2A圖至第2F圖描述之,該等圖式例示性說明使用雙曝光光微影製程形成接觸孔的流程。這製程係參照第1圖揭示之技術,但使用該光阻劑層之不同於該第一曝光之圖形的額外曝光的變化型。除了另外指明者之外,關於第1圖之上述說明亦可適用於第2圖之流程。
如第2A圖中所示,於第一次曝光步驟中,透過光罩112將該光阻劑層108曝光於光化輻射。如所示之光罩112係包括形成該罩之不透光區域114的一系列平行線。如第2B圖所繪者,於第一次曝光之後,透過光罩116對該光阻劑層108施行第二次曝光。該第二光罩116係包括垂直於該第一光罩之彼等線之方向的一系列線。這圖案可藉由將該第一光罩旋轉90°而簡單地作成。所得光阻劑層係包括未曝光區域108a、經一次曝光之區域108b及經二次曝光之區域108c,如第2C圖所示。
於第二次曝光之後,對該光阻劑層施行曝光後烘烤,烘烤溫度典型自約80℃至150℃,時間為約30至90秒。接著,使用如上揭之顯影劑將該光阻劑層顯影,以移除未曝光區域108a,留下經一次曝光之區域108b及經二次曝光之區域108c,以形成如第2D圖所顯示之阻劑圖案。
接著,可參照第1圖,如上揭者將所得結構圖案化,如第2E圖及第2F圖中所示。所得結構為經刻蝕之特徵的圖案120,如第2F圖中例示性說明者。這方法特別適用於在電子裝置之製造中形成接觸孔。
[實施例]
比較例
於TEL CLEAN TRACKTM LITHIUSTM i+塗覆機上以ARTM 40A抗反射劑(Rohm and Haas Electronic Materials)旋塗300 mm矽晶圓,以形成第一底部抗反射塗層(BARC)。於215℃烘烤該晶圓60秒,獲得厚度為75 nm之第一BARC膜。接著,使用ARTM 124抗反射劑(Rohm and Haas Electronic Materials)將第二BARC層塗覆於該第一BARC上,於205℃烘烤60秒以生成23 nm之頂部BARC層。接著,於該塗覆機上將EPICTM 2389光阻劑(Rohm and Haas Electronic Materials)塗覆於該兩層BARC上,於100℃軟烘烤60秒,以提供厚度為110之阻劑膜。接著,使用OCTM 2000頂塗材料(Rohm and Haas Electronic Materials)塗覆該阻劑層,透過具有83nm遮罩臨界維度(CD)之6%減光式位移後遮罩(attenuated-phase shift post mask),其於110nm節距之目標尺寸為60nm,使用數值孔徑為1.20之ASML TWINSCANTM XT:1900i浸潤掃描儀曝光,曝光條件為環狀照明(0.96外σ/0.69內σ,XY-偏光),曝光劑量為界於22.5與61.5mJ/cm2 間之增幅為1.3mJ/cm2 的多種劑量。隨後,於100℃對該晶圓進行曝光後烘烤(PEB)60秒。隨後,使用乙酸正丁酯顯影劑將該成像之阻劑層顯影25秒。以1-己醇(Sigma-Aldrich)沖洗該晶圓15秒。所得理論結構可見第3圖,其中,d 為接觸孔直徑且p 為接觸孔節距。
接著,如第4圖所示作出由上往下看之影像,且於Hitachi CG 4000 SEM(Hitachi High Technologies America,Inc)上測量每一曝光劑量/晶粒(die)之CD。提供60nm之目標CD的曝光劑量為36.80mJ/cm2 。於此目標CD條件,觀察到具不同尺寸之接觸孔及缺失孔之圖案。基於晶粒中約170個接觸孔的CD測量值,藉由SEM計算之符合目標CD的該晶粒一致性(3σ)為8.35。計算曝光幅度,曝光幅度係作為加工窗之指標且定義為經顯影之光阻劑可過度曝光或不足曝光但仍達成可接受之結果的程度。對於此目的,將允許自目標CD變動±10%之曝光能量範圍用於根據下式之計算中:
EL=100(ED -ED )/ED目標
其中,EL係曝光幅度,ED 為CD下限(-10%)之曝光劑量,ED 為CD上限(+10%)之曝光劑量,以及ED目標 為目標CD之曝光劑量。所計算之曝光幅度為13%。此等結果及其他數據及觀察結果係提供於下表1中。
實施例1
除了以5-甲基-2-己酮替代乙酸正丁酯顯影劑之外,重複比較例1之過程。提供60nm(測量值為61.25nm)之目標CD的曝光劑量為39.40mJ/cm2 。於此條件下,該等接觸孔獲得具有一致尺寸及形狀之精確圖案化。所得曝光幅度為15%且CD一致性(3σ)為7.22。
實施例2
除了以2-庚酮替代乙酸正丁酯顯影劑之外,重複比較例1之過程。該顯影後之目視檢測表明,該晶圓之表面上無殘質。提供60nm(測量值為60.73nm)之目標CD的曝光劑量為51.10mJ/cm2 。於此條件下,該等接觸孔獲得具有一致尺寸及形狀之精確圖案化。所得曝光幅度為32%且CD一致性(3σ)為7.07。
100...基板
102...待圖案化之層
102’...經蝕刻特徵
104...硬罩層
104’...經圖案化之硬罩
106...底部抗反射塗層
106’...經圖案化之BARC
108...光阻劑層
108a...未曝光區域
108b...經曝光區域
108c...經二次曝光之區域
110...活化輻射
112...第一光罩
113...透光區域
114...不透光區域
116...第二光罩
120...經蝕刻特徵之圖案
d...接觸孔直徑
p...接觸孔節距
第1A圖至第1E圖係例示性說明根據本發明之第一種例示性態樣之用於形成光微影圖案的流程;
第2A圖至第2F圖係例示性說明根據本發明之又一種例示性態樣之用於形成光微影圖案的流程;
第3圖係根據本發明之圖案化基板的俯視圖;以及
第4圖係顯示如實施例中揭示般形成之圖案化基板的由上往下照的SEM顯微照片。
100...基板
102...待圖案化之層
102’...經蝕刻特徵
104...硬罩層
104’...經圖案化之硬罩
106...底部抗反射塗層
106’...經圖案化之BARC
108...光阻劑層
108a...未曝光區域
108b...經曝光區域
110...活化輻射
112...第一光罩
113...透光區域
114...不透光區域
120...經蝕刻特徵之圖案

Claims (10)

  1. 一種形成光微影圖案之方法,係包含:(a)提供基板,該基板係包含位於其表面上之一層或多層待圖案化之層;(b)將一層光阻劑組成物之層施加至該一層或多層待圖案化之層上,該光阻劑組成物係包含具有酸可裂解基之樹脂及酸產生劑;(c)將該光阻劑組成物層圖案式曝光於光化輻射;以及(d)將顯影劑施加至該光阻劑組成物層,其中,藉由該顯影劑移除該光阻劑層之未曝光部份,於該一層或多層待圖案化之層上留下光阻劑圖案,其中,該顯影劑係包含2-庚酮及/或5-甲基-2-己酮。
  2. 如申請專利範圍第1項所述之方法,進一步包含將該光阻劑圖案之圖案轉移至位於該光阻劑光罩之下的一層或多層,其中,該圖案轉移係藉由刻蝕製程予以完成,以及其中,該方法於施加該顯影劑與該刻蝕製程之間係不含顯影後沖洗。
  3. 如申請專利範圍第1項所述之方法,其中,該顯影劑係包含2-庚酮。
  4. 如申請專利範圍第1項所述之方法,其中,該顯影劑係包含5-甲基-2-己酮。
  5. 如申請專利範圍第1項所述之方法,進一步包含,於第一次圖案式曝光之後且於施加該顯影劑之前,施行將該光阻劑組成物層曝光於光化輻射的第二次圖案式曝光, 其中,第二次圖案式曝光之圖案係不同於第一次圖案式曝光之圖案。
  6. 如申請專利範圍第1項所述之方法,其中,該顯影劑係包含溶劑之混合物。
  7. 一種電子裝置,係藉由申請專利範圍第1項所述之方法形成者。
  8. 一種經塗覆之基板,係包含:基板,包含位於其表面上之一層或多層待圖案化之層;位於該一層或多層待圖案化之層上之光阻劑組成物的經曝光層,該光阻劑組成物係包含具有酸可裂解基之樹脂及酸產生劑;以及與該光阻劑組成物層的經曝光層接觸之顯影劑溶液,其中,該顯影劑係包含2-庚酮及/或5-甲基-2-己酮。
  9. 如申請專利範圍第8項所述之經塗覆之基板,其中,該顯影劑係包含2-庚酮。
  10. 如申請專利範圍第8項所述之經塗覆之基板,其中,該顯影劑係包含5-甲基-2-己酮。
TW100107276A 2010-03-05 2011-03-04 形成光微影圖案之方法 TWI428958B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US33954310P 2010-03-05 2010-03-05

Publications (2)

Publication Number Publication Date
TW201214508A TW201214508A (en) 2012-04-01
TWI428958B true TWI428958B (zh) 2014-03-01

Family

ID=44187911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100107276A TWI428958B (zh) 2010-03-05 2011-03-04 形成光微影圖案之方法

Country Status (7)

Country Link
US (1) US8778601B2 (zh)
EP (1) EP2363749B1 (zh)
JP (1) JP5795481B2 (zh)
KR (2) KR101680721B1 (zh)
CN (1) CN102338982B (zh)
IL (1) IL211532A0 (zh)
TW (1) TWI428958B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5629520B2 (ja) * 2010-07-28 2014-11-19 富士フイルム株式会社 パターン形成方法及びこの方法に用いられる有機系処理液
JP5767919B2 (ja) * 2010-09-17 2015-08-26 富士フイルム株式会社 パターン形成方法
JP6118500B2 (ja) 2011-02-28 2017-04-19 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
JP2012181523A (ja) 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc 現像剤組成物、およびフォトリソグラフィパターンを形成する方法
US8703401B2 (en) * 2011-06-01 2014-04-22 Jsr Corporation Method for forming pattern and developer
JP5835148B2 (ja) * 2011-08-26 2015-12-24 信越化学工業株式会社 パターン形成方法及びレジスト組成物
JP2013061648A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP2013061647A (ja) 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法
US8790867B2 (en) 2011-11-03 2014-07-29 Rohm And Haas Electronic Materials Llc Methods of forming photolithographic patterns by negative tone development
US8852967B2 (en) * 2012-12-20 2014-10-07 Intermolecular, Inc. Dissolution rate monitor
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US9412647B2 (en) 2013-09-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via definition scheme
US9252048B2 (en) 2013-05-14 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal and via definition scheme
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
CN103309160B (zh) * 2013-07-03 2015-08-26 北京科华微电子材料有限公司 一种负性化学放大光刻胶及其成像方法
KR102198023B1 (ko) 2013-10-30 2021-01-05 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR102324819B1 (ko) 2014-12-12 2021-11-11 삼성전자주식회사 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
EP3345970B1 (en) * 2015-08-31 2021-07-28 Zeon Corporation Resin composition
WO2017058589A1 (en) * 2015-09-30 2017-04-06 Tokyo Electron Limited Method for patterning a substrate using extreme ultraviolet lithography
US11112698B2 (en) * 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
US10520813B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
CN107219723B (zh) * 2017-08-02 2021-01-22 京东方科技集团股份有限公司 一种金属光栅的制作方法、金属光栅及显示装置
CN112444162B (zh) * 2019-09-02 2023-10-13 西安尚道电子科技有限公司 一种导电布精度靶板制造方法
US11799001B2 (en) * 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3780357A (en) 1973-02-16 1973-12-18 Hewlett Packard Co Electroluminescent semiconductor display apparatus and method of fabricating the same
CA1164261A (en) 1981-04-21 1984-03-27 Tsukasa Tada PROCESS FOR FORMING RESIST PATTERNS BY DEVELOPING A POLYMER CONTAINING TRIFLUOROETHYL-.alpha.- CHLOROCRYLATE UNITS WITH SPECIFIC KETONE COMPOUNDS
JPS57173832A (en) * 1981-04-21 1982-10-26 Toshiba Corp Formation of resist image
JPS57173833A (en) * 1981-04-21 1982-10-26 Toshiba Corp Formation of radiation resist image
US4397938A (en) 1981-12-14 1983-08-09 Rca Corporation Method of forming resist patterns using X-rays or electron beam
DE4120172A1 (de) 1991-06-19 1992-12-24 Hoechst Ag Strahlungsempfindliches gemisch, das als bindemittel neue polymere mit einheiten aus amiden von (alpha),(beta)-ungesaettigten carbonsaeuren enthaelt
US6056421A (en) 1995-08-25 2000-05-02 Michael Brian Johnson Architectural lighting devices with photosensitive lens
KR100536824B1 (ko) 1996-03-07 2006-03-09 스미토모 베이클라이트 가부시키가이샤 산불안정성펜던트기를지닌다중고리중합체를포함하는포토레지스트조성물
US6770420B2 (en) 1996-09-02 2004-08-03 Ciba Specialty Chemicals Corporation Alkylsulfonyloximes for high-resolution i-line photoresists of high sensitivity
JP3448441B2 (ja) 1996-11-29 2003-09-22 三洋電機株式会社 発光装置
US5813753A (en) 1997-05-27 1998-09-29 Philips Electronics North America Corporation UV/blue led-phosphor device with efficient conversion of UV/blues light to visible light
CN2310925Y (zh) 1997-09-26 1999-03-17 陈兴 发光二极管的新结构
US6580097B1 (en) 1998-02-06 2003-06-17 General Electric Company Light emitting device with phosphor composition
US6504180B1 (en) 1998-07-28 2003-01-07 Imec Vzw And Vrije Universiteit Method of manufacturing surface textured high-efficiency radiating devices and devices obtained therefrom
JP3385325B2 (ja) 1998-11-09 2003-03-10 日本電気株式会社 格子パターンの露光方法および露光装置
JP3775081B2 (ja) 1998-11-27 2006-05-17 松下電器産業株式会社 半導体発光装置
JP3943741B2 (ja) 1999-01-07 2007-07-11 株式会社東芝 パターン形成方法
JP4256968B2 (ja) 1999-01-14 2009-04-22 スタンレー電気株式会社 発光ダイオードの製造方法
KR20010090354A (ko) 1999-03-26 2001-10-18 가나이 쓰토무 반도체 모듈 및 그 실장 방법
JP3337000B2 (ja) 1999-06-07 2002-10-21 サンケン電気株式会社 半導体発光装置
JP2001099953A (ja) 1999-09-30 2001-04-13 Rhythm Watch Co Ltd 時計の蓋体開閉機構
US6522065B1 (en) 2000-03-27 2003-02-18 General Electric Company Single phosphor for creating white light with high luminosity and high CRI in a UV led device
JP4923376B2 (ja) * 2000-04-04 2012-04-25 ダイキン工業株式会社 酸反応性基を有する新規なフッ素ポリマーおよびそれを用いた化学増幅型フォトレジスト組成物
US6653765B1 (en) 2000-04-17 2003-11-25 General Electric Company Uniform angular light distribution from LEDs
JP3589187B2 (ja) 2000-07-31 2004-11-17 日亜化学工業株式会社 発光装置の形成方法
TW516247B (en) 2001-02-26 2003-01-01 Arima Optoelectronics Corp Light emitting diode with light conversion using scattering optical media
CN1131037C (zh) 2001-02-28 2003-12-17 中国人民解放军第三军医大学 N-乙酰-d-氨基葡萄糖在制备防治性功能障碍药物中的应用
JP4529319B2 (ja) 2001-06-27 2010-08-25 日亜化学工業株式会社 半導体チップとその製造方法
WO2003021691A1 (en) 2001-09-03 2003-03-13 Matsushita Electric Industrial Co., Ltd. Semiconductor light emitting device, light emitting apparatus and production method for semiconductor light emitting device
JP2004031856A (ja) 2002-06-28 2004-01-29 Sumitomo Electric Ind Ltd ZnSe系発光装置およびその製造方法
TWI249075B (en) * 2002-08-30 2006-02-11 Toyo Gosei Co Ltd Radiation-sensitive negative-type resist composition for pattern formation and pattern formation method
US7514197B2 (en) * 2002-09-09 2009-04-07 Nec Corporation Resist and method of forming resist pattern
JP4222850B2 (ja) 2003-02-10 2009-02-12 Spansion Japan株式会社 感放射線性樹脂組成物、その製造法並びにそれを用いた半導体装置の製造方法
JP4415572B2 (ja) 2003-06-05 2010-02-17 日亜化学工業株式会社 半導体発光素子およびその製造方法
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP2005252222A (ja) 2004-02-03 2005-09-15 Matsushita Electric Ind Co Ltd 半導体発光装置、照明モジュール、照明装置、表示素子、および半導体発光装置の製造方法
JP4294521B2 (ja) * 2004-03-19 2009-07-15 東京応化工業株式会社 ネガ型レジスト組成物及びそれを用いたパターン形成方法
CN1942997B (zh) 2004-04-15 2011-03-23 皇家飞利浦电子股份有限公司 电可控色彩转换单元
JP4471729B2 (ja) 2004-04-30 2010-06-02 シチズン電子株式会社 液晶レンズ付き発光装置
EP1601030B1 (en) 2004-05-24 2019-04-03 OSRAM OLED GmbH Light-emitting electronic component
WO2005121641A1 (en) 2004-06-11 2005-12-22 Koninklijke Philips Electronics N.V. Illumination system
US7217496B2 (en) * 2004-11-12 2007-05-15 International Business Machines Corporation Fluorinated photoresist materials with improved etch resistant properties
US7932111B2 (en) 2005-02-23 2011-04-26 Cree, Inc. Substrate removal process for high light extraction LEDs
JP2006245020A (ja) 2005-02-28 2006-09-14 Sharp Corp 発光ダイオード素子とその製造方法
JP4601464B2 (ja) 2005-03-10 2010-12-22 株式会社沖データ 半導体装置、プリントヘッド、及びそれを用いた画像形成装置
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5219374B2 (ja) * 2005-07-20 2013-06-26 株式会社Adeka 含フッ素共重合体、アルカリ現像性樹脂組成物及びアルカリ現像性感光性樹脂組成物
US7564070B2 (en) 2005-11-23 2009-07-21 Visteon Global Technologies, Inc. Light emitting diode device having a shield and/or filter
DE102005058127A1 (de) 2005-11-30 2007-06-06 Schefenacker Vision Systems Germany Gmbh Fahrzeugleuchte
WO2007107903A1 (en) 2006-03-23 2007-09-27 Koninklijke Philips Electronics N.V. Led-based lighting device with colour control
KR101186689B1 (ko) 2006-10-30 2012-09-27 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 침지 리소그래피 처리용 조성물 및 방법
JP2008153373A (ja) 2006-12-15 2008-07-03 Toshiba Corp 半導体装置の製造方法
EP1935452A1 (en) 2006-12-19 2008-06-25 Koninklijke Philips Electronics N.V. Electrochromic device and photodynamic treatment device comprising such an electrochromic device
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
KR100990106B1 (ko) * 2007-04-13 2010-10-29 후지필름 가부시키가이샤 패턴형성방법, 이 패턴형성방법에 사용되는 레지스트 조성물, 현상액 및 린스액
DE102007022090A1 (de) 2007-05-11 2008-11-13 Osram Opto Semiconductors Gmbh Lichtemittierendes Bauelement
JP4590431B2 (ja) 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP2009199058A (ja) 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
ATE520055T1 (de) 2007-11-09 2011-08-15 Koninkl Philips Electronics Nv Lichtausgabevorrichtung
US20090268461A1 (en) 2008-04-28 2009-10-29 Deak David G Photon energy conversion structure
EP2331870B1 (en) 2008-09-23 2016-06-01 Koninklijke Philips N.V. Lighting device with thermally variable reflecting element
JP5601884B2 (ja) * 2009-06-04 2014-10-08 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物を用いたパターン形成方法及びパターン
JP5634115B2 (ja) * 2009-06-17 2014-12-03 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法

Also Published As

Publication number Publication date
JP5795481B2 (ja) 2015-10-14
KR20160036549A (ko) 2016-04-04
US20110159253A1 (en) 2011-06-30
US8778601B2 (en) 2014-07-15
CN102338982B (zh) 2014-08-20
IL211532A0 (en) 2011-06-30
JP2011227465A (ja) 2011-11-10
EP2363749A3 (en) 2011-11-02
CN102338982A (zh) 2012-02-01
TW201214508A (en) 2012-04-01
KR101680721B1 (ko) 2016-11-29
KR20110101098A (ko) 2011-09-15
EP2363749B1 (en) 2015-08-19
EP2363749A2 (en) 2011-09-07

Similar Documents

Publication Publication Date Title
TWI428958B (zh) 形成光微影圖案之方法
US9996008B2 (en) Photoresist pattern trimming methods
US10162266B2 (en) Photoresist pattern trimming methods
TWI420571B (zh) 形成電子裝置的方法
TWI476816B (zh) 自我對準間隔之多重圖案化方法
TWI584061B (zh) 多重圖案的形成方法
TWI442453B (zh) 形成電子裝置之方法
TWI485535B (zh) 形成光微影圖案之顯像劑組成物及方法
US9448486B2 (en) Photoresist pattern trimming compositions and methods
JP2018109763A (ja) パターン形成方法