CN102338982A - 形成光刻图案的方法 - Google Patents

形成光刻图案的方法 Download PDF

Info

Publication number
CN102338982A
CN102338982A CN2011101089606A CN201110108960A CN102338982A CN 102338982 A CN102338982 A CN 102338982A CN 2011101089606 A CN2011101089606 A CN 2011101089606A CN 201110108960 A CN201110108960 A CN 201110108960A CN 102338982 A CN102338982 A CN 102338982A
Authority
CN
China
Prior art keywords
layer
developer
photo
corrosion
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011101089606A
Other languages
English (en)
Other versions
CN102338982B (zh
Inventor
姜锡昊
C·科尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN102338982A publication Critical patent/CN102338982A/zh
Application granted granted Critical
Publication of CN102338982B publication Critical patent/CN102338982B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Liquid Crystal (AREA)

Abstract

本发明涉及一种形成光刻图案的方法。还提供涂覆的基板和通过该方法形成的电子器件。该方法在电子器件的制造中具有特殊用途。提供了一种形成光刻图案的方法,所述方法包括:(a)提供在其表面上具有一个或多个将被图案化的层的基板;(b)在该一个或多个将被图案化的层上施加光致抗蚀剂组合物层,该光致抗蚀剂组合物包括具有酸解离基团的树脂和光酸产生剂;(c)在光化辐射下图案化曝光该光致抗蚀剂组合物层;并且(d)在光致抗蚀剂组合物层上施加显影剂,其中光致抗蚀剂组合物层中的未曝光区域被显影剂去除,在一个或多个将被图案化的层上形成光致抗蚀剂图案,其中该显影剂包括2-庚酮和/或5-甲基-2-己酮。

Description

形成光刻图案的方法
技术领域
本发明主要涉及电子器件的制造。更具体地,本发明涉及使用负型调色(negativetone)显影工艺形成精细图案的光刻工艺,该负型调色显影工艺使用特殊的有机材料作为显影剂。
发明背景
在半导体制造工业中,使用光致抗蚀剂材料将图案转移到布置在半导体基板上的一个和多个在下方的层上,例如金属,半导体和介电层,以及转移到基板自身上。为增加半导体器件的集成度并且考虑到形成具有纳米范围尺寸的结构,一直在发展具有高分辨能力的光致抗蚀剂和光刻工艺器具。
一种在半导体器件中达到纳米等级的功能元件尺寸的方法是在化学放大型光致抗蚀剂的曝光过程中使用短波长的光,例如193nm或更短。浸渍光刻有效地增大成像装置例如具有KrF或ArF光源的扫描仪中的透镜的数值孔径(numerical aperture,NA)。这点是通过在成像装置的最后表面和半导体晶片的最上表面之间使用相对高折射率流体(即浸渍流体)来实现的。该浸渍流体与在空气或惰性气体介质中相比,能使更多量的光聚焦到光致抗蚀剂层上。当使用水作为为浸渍流体时,能提高最大数值孔径,例如从1.2提高到1.35。通过数值孔径的增大,可以在单曝光工艺中达到40nm的半间距(half-pitch)分辨率,从而允许改善设计收缩(design shrink)。然而,这种标准的浸渍光刻工艺一般并不适于制造要求更高分辨率,例如32nm和22nm半间距(half-pitch)节点的装置。
为努力达到更高的分辨能力以及扩展现有的制造器具的性能,提出了先进的图案化技术,例如双重图案化(double patterning)(也称为间距分离(pitch splitting))。然而各种双重图案化技术有很多缺陷,该缺陷包括例如以下的一种或多种:由于在光刻模块和蚀刻工艺模块之间来回运输晶片,以及蚀刻和移除光致抗蚀剂工艺本身中所导致的增加的污染和缺陷;由于工艺步骤数目的增加所导致生产量的下降;由于过高的光致抗蚀剂固化温度所导致的光致抗蚀剂图案的变形。
另一种得到精细光刻图案的图案化技术包括对传统的正型化学放大型光致抗蚀剂进行负色调显影。这种光致抗蚀剂典型地使用具有酸不稳定基团的树脂以及光酸产生剂。光化辐射下曝光导致光酸产生剂形成酸,该酸在曝光后的焙烘中导致树脂中的酸不稳定基团解离。这在树脂的曝光区域和未曝光区域之间产生的不同的溶解度。在传统的使用水性碱性显影剂例如氢氧化四甲基胺(TMAH)的显影工艺中,抗蚀剂的曝光区域溶于显影剂并被从基板表面移除,而未曝光区域不溶于显影剂,显影后仍保留以形成正型图案。在负色调显影中,可以通过对传统的正型光致抗蚀剂使用特殊有机溶剂进行显影来得到负型图案。这种工艺曾经在例如美国专利6790579中被Goodall等描述过。该文献公开了一种光致抗蚀剂组合物,该光致抗蚀剂组合物含有酸产生引发剂和在聚合物主链上具有重复酸不稳定侧基的多环聚合物。可以使用碱性显影剂选择性移除曝光区域,或者,可以通过使用作为负型色调显影的合适的非极性溶剂处理来将未曝光区域选择性移除。
对于负色调显影,最近推荐的显影剂是醋酸正丁酯(NBA)。然而因为各种原因这种材料的应用并不是令人满意的。从安全的立场出发,NBA是有问题的,这是因为NBA具有相对低的22℃的闪点,由于工艺设备通常具有移动的机械部件和电部件,而这些部件会产生能点燃溶剂蒸汽与空气混合物的电火花或静电火花,从而会造成着火和爆炸事故。另外,发现当使用NBA时曝光宽容度(exposure latitude)相对较小,从而所提供的比合适的处理窗口更小。
本领域中对于能解决与该技术的状态相结合的一个或多个前述问题,并且能在电子器件的制造中形成精细图案的光刻图案工艺有着持续的需求。
发明内容
根据本发明的第一方面,提供一种形成光刻图案的方法。该方法包括:(a)提供在其表面上具有一个或多个将被图案化的层的基板;(b)在该一个或多个将被图案化的层的表面上涂覆光致抗蚀剂组合物层,该光致抗蚀剂组合物包括具有酸解离基团的树脂和光酸产生剂;(c)在光化辐射下图案化曝光该光致抗蚀剂组合物层;并且(d)在光致抗蚀剂组合物层上施加显影剂,其中光致抗蚀剂组合物层中的未曝光区域被显影剂去除,在一个或多个将被图案化的层上形成光致抗蚀剂图案。该显影剂包括2-庚酮和/或5-甲基-2-己酮。
根据本发明的再一方面,所提供的是通过此处所描述的方法形成的电子器件。
根据本发明的再一方面,提供一种涂覆的基板。该涂覆的基板包括:在其表面上具有一个或多个将被图案化的层的基板;位于该一个或多个将被图案化的层的表面上的曝光了的光致抗蚀剂组合物层,该光致抗蚀剂组合物包括具有酸解离基团的树脂和酸产生剂;以及与曝光过的光致抗蚀剂组合物层接触的显影剂溶液,其中该显影剂包括2-庚酮和/或5-甲基-2-己酮。
附图说明
根据下述附图对本发明进行说明,其中相同的附图标记代表相同的特征,并且其中:
图1A-E显示了根据本发明的第一实施方面形成光刻图案的工艺流程;
图2A-F显示了根据本发明的再一实施方面通过双曝光形成光刻图案的工艺流程;并且
图3是根据本发明的图案化基板的俯视图;并且
图4显示了根据实施例中的描述形成的图案化基板的SEM显微照片的俯视图。
具体实施方式
根据图1A-E描述本发明,该图1A-E显示了根据本发明通过负色调显影形成的光刻图案的第一实施工艺流程。
图1A描述了可能包括不同的层和功能元件的基板100的断面图。该基板可以是例如半导体,诸如硅或化合物(compound)半导体(例如,III-V或II-VI),玻璃,石英,陶瓷,铜等等材料。典型地,该基板是半导体晶片,例如单晶硅或化合物半导体晶片,并且该基板可以具有一层或多层以及形成在其表面上的图案化的功能元件(feature)。可以在基板100上提供一个或多个将被图案化的层102。任选地,位于下方的底部基板材料自身可以被图案化,例如,当需要在基板材料中形成沟槽时。在图案化该底部基板材料自身时,该图案将被认为是形成在基板的一层中。
这些层可以包括,例如,一个或多个导电层,诸如铝,铜,钼,钽,钛,钨,合金,此类金属的氮化物或硅化物,掺杂的非晶硅或掺杂的多晶硅的层,一个或多个介电层,诸如二氧化硅,氮化硅,氮氧化硅,或金属氧化物的层,半导体层,诸如单晶硅,以及它们的组合。可以通过个各种技术形成将被蚀刻的层,例如,化学汽相淀积(CVD),诸如等离子增强CVD,低压CVD或外延增长,物理气相沉积(PVD),诸如喷溅或蒸发,或电镀。该一个或多个将被蚀刻的层102的具体厚度将根据其材料和所形成的具体器件而变化。
基于将被蚀刻的具体层,薄膜厚度和光刻材料以及将使用的工艺,可以在层102上放置硬掩模层104和/或底部抗反射涂层(BARC)106,再在其上涂覆光致抗蚀剂层108。当光致抗蚀剂层非常薄,而将被蚀刻的层要求明显的蚀刻深度时,和/或特殊的蚀刻剂具有较弱的抗蚀剂选择性时,使用硬掩模层104是需要的。当使用硬掩模时,可以将要形成的光致抗蚀剂图案转移到该硬掩模层上,依次,用作蚀刻在下方的层102的掩模。合适的硬掩模材料和形成方法在本领域中是公知的。典型的材料包括,例如,钨,钛,氮化钛,氧化钛,氧化锆,氧化铝,氮氧化铝,氧化铪,无定形碳,氮氧化硅和氮化硅。该硬掩模层104可以包括单层或不同材料的多层。该硬掩模层可以通过例如化学或物理气相沉积技术形成。
当在光致抗蚀剂曝光过程中,基板和/或位于其下方的层将另外反射明显量的入射光,导致所形成的图案的质量受到不利的影响时,使用底部抗反射涂层106是需要的。这种涂层可以提高焦深,曝光宽容度,线宽均匀性和CD控制。抗反射涂层典型地用于光致抗蚀剂曝光于深紫外线(小于等于300nm)时,例如KrF准分子激光器的光(248nm)或ArF准分子激光器的光(193nm)。该抗反射涂层106可以包括单层或多重不同层。合适的抗反射材料是商业上可得的,例如,罗门哈斯电子材料有限公司(美国马萨诸塞州莫尔伯勒市)所销售的在ARTM商标下的材料,诸如ARTM40A和ARTM124抗反射材料。
光致抗蚀剂组合物施加于基板上的抗反射层106(如果存在的话)上形成光致抗蚀剂层108。该光致抗蚀剂组合物包括具有酸解离基团的树脂和光酸产生剂。当在水性碱显影剂中显影时该光致抗蚀剂组合物是典型的正性反应材料,但是当在特定有机显影剂中显影时该光致抗蚀剂组合物却是负性反应。合适的光致抗蚀剂材料在本领域中是公知的,并且包括,例如基于丙烯酸酯,酚醛树脂清漆和硅化学物质的材料。合适的抗蚀剂在例如美国申请公开号US20090117489A1,US20080193872A1,US20060246373A1,US20090117489A1,US20090123869A1和US专利号7332616中均作了描述。合适的材料包括化学放大型光致抗蚀剂,该化学放大型光致抗蚀剂参与该组合物中的一种或多种组分中的酸不稳定基团的光酸促进脱保护反应,使得抗蚀剂涂层中的曝光区域比未曝光区域相比更易溶于碱性显影剂。典型的光致抗蚀剂树脂中的光酸不稳定基团包括酯基,所述酯基具有与酯的羧基氧原子共价连接的叔非环烷基碳(例如,叔丁基)或叔脂环碳(例如,甲基金刚烷基)。缩醛光酸不稳定基团也是典型的。
第一光敏组合物的树脂优选具有给予该树脂组合物碱水溶液显影性能的官能团。例如,典型的树脂粘合剂包括极性官能团例如羟基或羧酸酯基。该树脂组分在组合物中的用量足以使未曝光区域可在有机显影剂溶液中显影。该树脂组分典型的占全部抗蚀剂固体成分的大约70到97重量%。
该光敏组合物进一步包括光酸产生剂(PAG),该光酸产生剂的使用量足以在曝光于活性辐射时在该组合物涂层中产生潜像。例如,该光酸产生剂适于占全部光致抗蚀剂固体成分的1到20重量%。合适的PAG在化学放大型光致抗蚀剂领域中是公知的,例如:鎓盐,例如,三苯基锍盐,硝基苄基衍生物,磺酸酯,重氮甲烷(diazomethane)衍生物,乙二肟衍生物,N-羟基酰亚胺化合物的磺酸酯衍生物和含卤三嗪化合物。
光致抗蚀剂组合物可以进一步含有其他添加碱,尤其是氢氧化四丁铵(TBAH),或乳酸四丁铵,其可以增大显影后的抗蚀剂浮雕图像的分辨率。对于在193nm下成像的抗蚀剂,典型的添加碱是受阻胺例如二氮杂二环(diazabicyclo)十一碳烯或二氮杂二环壬烯。该添加碱适于使用相对小的量,例如,相对于总的固体物质大约0.03到5重量%。
本发明的方法中所使用的光致抗蚀剂还可以含有表面活性剂。典型的表面活性剂包括那些显示出两亲性的化合物,意味着它们能同时亲水或疏水。两亲表面活性剂具有与水有强亲和力的亲水头部基团或亲水基团,以及是有机的并且斥水的长疏水尾部。合适的表面活性剂可以是离子型(即阴离子,阳离子)的或非离子型的。表面活性剂的进一步例子包括硅氧烷(silicone)表面活性剂,聚(氧化烯(alkyleneoxide))表面活性剂和氟化学表面活性剂。合适的用于水溶液中的非离子表面活性剂包括但不限于辛基和壬基苯酚乙氧基化物,例如
Figure BSA00000486014900051
X-114,X-100,X-45,X-15和支链仲醇乙氧基化物,例如TERGITOLTMTMN-6(陶氏化学公司,美国密歇根州米德兰市)。进一步示范性的表面活性剂包括醇(伯和仲)乙氧基化物,乙氧基化胺,葡糖苷,葡萄糖胺(glucamine),聚乙二醇,聚(乙二醇-共聚-丙三醇),或其他的由Glen Rock,NJ的厂商糖果制造商出版公司出版的2000年北美版中的《McCutcheon的乳化剂和去污剂》中所公开的其他表面活性剂。
炔属二醇(acetylenic diol)衍生物非离子表面活性剂也是合适的,其包括下式所示的表面活性剂:
Figure BSA00000486014900061
其中R1和R4是具有3到10个碳原子的直链或支链链烷基;R2和R3是H或适宜具有1到5个碳原子的链烷基;并且m,n,p和q是从0到20的数。这种表面活性剂是商业上可得的,可以从空气产品和化学品公司,美国宾夕法尼亚州的阿罗顿(Alltentown),其名称是
Figure BSA00000486014900062
Figure BSA00000486014900063
另外的合适表面活性剂包括其他聚合性化合物,例如三嵌段共聚物EO-PO-EP,共聚物
Figure BSA00000486014900064
25R2,L121,L123,L31,L81,L101和P123(BASF公司)。
光致抗蚀剂可以含有额外的任选材料。例如,其他可供选择的添加剂包括抗条纹剂,增塑剂和加速剂。这些可供选择的添加剂除了以相对较大的含量用于光致抗蚀剂组合物中的填充剂和色素外,典型地以小含量用于光致抗蚀剂组合物中,例如,基于光致抗蚀剂干组分总重量的0.1到10%。
本发明中所使用的光致抗蚀剂一般按照公知的步骤来进行制备。例如,可以通过将抗蚀剂组分溶解在合适的溶剂中来得到作为涂料组合物的光致抗蚀剂,合适的溶剂例如:诸如2-甲氧基乙醚(二甘醇二甲醚),乙二醇单甲醚,丙二醇单甲醚的二醇醚;诸如乳酸乙酯或乳酸甲酯的乳酸酯;丙酸酯,特别是丙酸甲酯,丙酸乙酯和丙酸乙基乙氧基酯;溶纤剂(Cellosolve)酯,例如甲基溶纤剂乙酸酯;诸如甲苯或二甲苯的芳香烃;或诸如甲基乙基酮,环己酮和2-庚酮的酮。典型地,基于光致抗蚀剂组合物的总重量计,光致抗蚀剂的固体含量在约2到25重量%之间变化。混合使用这些溶剂也是适宜的。
本发明的方法可以使用多种成像波长。例如,具有亚-400nm,亚-300nm或亚-200nm的曝光波长的射线,其中I射线(365nm),248nm和193nm是典型的曝光波长,还有EUV(13.5nm)和157nm。在示范性方面,光致抗蚀剂适于在亚-200nm波长例如193nm下使用和成像。在这些波长下,使用浸渍光刻是典型的,虽然也可以使用非浸渍工艺。在浸渍光刻中,将具有介于1和2之间的折射率的流体(例如,浸渍流体)在曝光过程中置于曝光器具和光致抗蚀剂层之间。将顶涂层置于光致抗蚀剂层上以防止浸渍流体与光致抗蚀剂层之间直接接触来避免光致抗蚀剂组分浸出到浸渍流体中。
可以通过旋涂,浸涂,辊涂或其他常规的涂覆技术将光致抗蚀剂组合物施加到基板上。在这些涂覆技术中旋涂是典型的。对于旋涂,可以基于所利用的具体涂覆工具,溶液的粘度,涂覆工具的速度以及允许旋转的时间的大小,通过调节涂覆溶液中的固体组分以得到想要的膜厚。光致抗蚀剂层108的典型厚度是大约500到
Figure BSA00000486014900071
接下来可以将光致抗蚀剂层软烤以使该层中溶剂的含量最小化,从而形成不发粘的涂层并提高该层对于基板的粘附性。软烤可以在加热板上或在烘箱中进行,其中加热板是典型的。软烤的温度和时间取决于例如光致抗蚀剂的具体材料和厚度。典型的软烤在大约90到150℃的温度下进行大约30到90秒。
如果光致抗蚀剂层108在浸渍光刻器具例如193nm的浸渍式扫描仪中曝光,可以将顶涂层(未显示)置于光致抗蚀剂层108上。使用这种顶涂层可以作为浸渍流体和下方的光致抗蚀剂层之间的阻挡层。这样可以减小或避免光致抗蚀剂组合物的组分浸出到浸渍流体中从而可能导致光学透镜的污染以及浸渍流体的有效折射率和透过性能的变化。合适的顶涂层组合物是商业上可得的,例如OPTICALTM顶涂层材料,诸如OCTM2000(罗门哈斯电子材料有限公司)以及其他本领域中中公知的材料,例如,美国专利申请公开号2006/0246373A1中以及2009年12月31日申请的美国临时申请12/655598中所描述的材料。可以通过任何合适的方法例如上文关于光致抗蚀剂组合物中所描述的典型的旋涂,将这些组合物涂覆于光致抗蚀剂层上。该顶涂层的厚度典型地是λ/4n(或是其中的奇数倍),其中λ是曝光射线的波长并且n是顶涂层的折射率。如果存在顶涂层,可以在施加了该顶涂层组合物后而不是之前,软烘光致抗蚀剂层108。这样,仅在一步热处理步骤中可以将这两层中的溶剂都去除。
接下来将光致抗蚀剂层108通过第一光掩模112曝光于活化辐射110,以在曝光区域和未曝光区域之间产生不同的溶解性。光掩模具有与光敏层在接下来的显影步骤中将被保留的区域和将被去除的区域分别对应的光学透明区域和光学不透明区域113,114。根据曝光器具和光敏组合物的成分,曝光能量典型地是从20到80mJ/cm2。此处将光致抗蚀剂组合物曝光于可活化该组合物的幅射下的证据证明该辐射能在光致抗蚀剂组合物中形成潜像。光敏组合物典型可以被曝光波长较短,特别是亚-400nm,亚-300或亚-200nm曝光波长的光活化,其中I射线(365nm),248nm和193nm是典型的曝光波长,还有EUV(13.5nm)和157nm。
如图1B所示,曝光后的抗蚀剂层由未曝光区域和曝光区域108a,108b组成。在光致抗蚀剂层108的曝光之后,典型地,在高于该层软化点的温度下进行曝光后焙烘(PEB)。该PEB可以在例如加热板上或烘箱中进行。PEB的条件取决于,例如光致抗蚀剂层的具体材料和厚度。PEB典型地是在大约80到150℃的温度下进行大约30到90秒。
接下来将曝光后的光致抗蚀剂层显影以去除未曝光区域108a,留下曝光区域108b形成图1C中所示的抗蚀剂图案。显影剂包括2-庚酮,5-甲基-2-己酮或它们的混合物。2-庚酮和5-甲基-2-己酮分别具有相对高的39℃和40℃的闪点,从而避免了例如醋酸正丁酯的材料的易燃性问题。显影剂可以是2-庚酮或5-甲基-2-己酮,或上述两种材料中的一种或两种与其他显影剂和/或添加剂的混合物的形式。2-庚酮或5-甲基-2-己酮可以作为大体上纯的材料存在,例如按照基于显影剂总重量的大于95%,大于98%或大于99%的量来使用。在这种情况下,2-庚酮和/或5-甲基-2-己酮可以与另外的显影剂溶剂混合使用,该溶剂的沸点优选相似的。合适的其他溶剂包括例如乙二醇单甲基醚,乳酸乙酯,丙酸乙基3-乙氧基酯,甲基乙基酮,环己酮或光致抗蚀剂组合物中所使用的溶剂。2-庚酮和/或5-甲基-2-己酮在显影剂中的混合量典型地是从50重量%到100重量%,更典型地是基于显影剂总重量的80重量%到100重量%。
显影剂材料可以含有可选的添加剂,例如,上述的关于光致抗蚀剂的表面活性剂。这些可选的添加剂典型地以小含量来使用,例如基于显影剂总重量的0.01到5wt%的量。
可以通过公知的技术将显影剂施加到基板上,例如通过旋转涂覆或搅拌涂覆(puddle-coating)。显影时间是能有效去除光致抗蚀剂中的未曝光区域的时间,典型的是5到30秒,并且典型的在室温下进行显影。
优选地,以显影后不使用清洁剂清洗来进行显影过程。在这点上,可以发现显影过程会导致晶片表面没有残渣,使得不需要额外的清洗步骤。
如图1D所示,如果存在BARC层106,可以用抗蚀剂图案108b作为蚀刻掩模对其进行选择性的蚀刻,曝光在下面的硬掩模层104。接下来还是使用抗蚀剂图案108b作为蚀刻掩模选择性蚀刻硬掩模层,形成图案化的BARC层和硬掩模层106’,104’。合适的用于蚀刻BARC层和硬掩模层的蚀刻技术和化学物质在本领域中是公知的,并且取决于,例如形成这些层的具体材料。干蚀刻工艺例如活性离子蚀刻是典型的。接下来使用公知的技术,例如氧等离子灰化技术将抗蚀剂图案108b和图案化的BARC层106’从基板上移除。
使用硬掩模图案104’作为蚀刻掩模,选择性蚀刻一个或多个层102。适合的用于蚀刻在下面的层102的蚀刻技术和化学物质是本领域中公知的,典型的是干蚀刻工艺,例如活性离子蚀刻。接下来使用公知的技术,例如诸如活性离子蚀刻的干蚀刻工艺将图案化地硬掩模层104’从基板表面移除。所得到的结构是图1E中所示的蚀刻特征102’的图案。在可供选择的示范性方法中,适合直接使用抗蚀剂图案108b而不使用硬掩模层104来图案化层102。是否使用直接图案化取决于例如所包括的材料,抗蚀剂选择性,抗蚀剂图案厚度和图案尺寸等因素。
根据图2A-F介绍本发明的再一典型方面,图2A-F显示了使用双重曝光光刻工艺形成接触孔的工艺流程。这项工艺是图1所示技术的变种,但是与第一曝光相比,使用光致抗蚀剂层在不同的图案中的另外曝光。除了用其他方法叙述外,上述关于图1的描述也可用于图2的工艺流程。
如图2A所示,在第一曝光步骤中,将光致抗蚀剂层108通过光掩模112曝光于光化辐射。所示的光掩模112包括一系列平行线形成掩模的不透明区域114。第一曝光后,通过图2B所示的光掩模116对光致抗蚀剂层108进行第二曝光。第二光掩模116包括一系列在方向上与第一光掩模上的线相垂直的线。可以简单地通过将第一光掩模旋转90°来得到该图案。所得到的光致抗蚀剂层包括如图2C所示的未曝光区域108a,一次曝光区域108b和两次曝光区域108c。
第二曝光后,典型地在大约80到150℃的温度将光致抗蚀剂层进行曝光后焙烘约30到90秒。然后使用上述显影剂将光致抗蚀剂层进行显影,去除未曝光区域108a,留下一次和两次曝光区域108b,108c来形成图2D所示的抗蚀剂图案。
接下来如图2e和2F所示,根据上述关于图1的内容将所得到的结构图案化。所得到的结构是如图2F所示的具有蚀刻特征120的图案。该方法适用于在电子器件的制造中形成接触孔。
实施例
对比例
使用TEL CLEAN TRACKTM LITHIUSTMi+涂覆器在300mm的硅晶片上旋转涂覆ARTM40A抗反射材料(罗门哈斯电子材料有限公司)来形成第一底部抗反射涂层(BARC)。将该晶片在215℃焙烘60秒,生产出厚度为75nm的第一BARC膜。接下来使用ARTM124抗反射材料(罗门哈斯电子材料有限公司)在第一BARC上涂覆第二BARC层,并在205℃下焙烘60秒以产生23nm顶部BARC层。
将EPICTM2389光致抗蚀剂(罗门哈斯电子材料有限公司)涂覆在双BARC上,并在100℃在涂料器上软烘60秒以形成厚度为
Figure BSA00000486014900101
的抗蚀剂层。在抗蚀剂层上涂覆OCTM2000顶层材料(罗门哈斯电子材料有限公司),并通过110nm间距的目标尺寸为60nm的具有83nm掩模CD(临界尺寸)的6%削弱相转移掩模(attenuated-phase shift post mask),使用数值孔径为1.2的ASML TWIMSCANTMXT:1900i浸渍扫描仪,并且在环状照明的情况下(0.96外部σ/0.96内部σ,XY极化),在22.5到61.5mJ/cm2之间增量为1.3mJ/cm2的各种曝光能量下进行曝光。接下来将晶片在100℃进行曝光后焙烘(PEB)60秒。使用醋酸正丁酯显影剂将图案化的抗蚀剂层显影25秒。使用1-己醇(Sigma-Aldrich)将该晶片清洗15秒。所得到的理论结构如图3所示,其中d是接触孔直径,并且p是接触孔孔距。
如图4所示制作俯视图,并且在Hitachi CG 4000 SEM(美国东芝高技术公司)上测量每一次曝光量/模具中的CD。提供60nm的目标CD的曝光量是36.80mJ/cm2。在这种目标CD的情况下,可以观察到具有变化尺寸的接触孔以及缺失的孔图案。基于模具中的大约170个接触孔CD的测试,通过SEM计算出的模具集目标CD的CD均匀性(3σ)是8.35。计算曝光宽容度,该曝光宽容度指示过程窗口并且被定义为光致抗蚀剂显影可以在曝光之上或之下的延伸,并且还得到可以接受的结果。为了这个目的,使用允许与目标CD具有±10%的偏差的一系列曝光能量,根据下式进行计算:
EL=100(EDlower-EDupper)/EDtarget
其中,EL是曝光宽容度,EDlower是在较低的(-10%)CD界限下的曝光量,EDupper是在较高的(+10%)CD界限下的曝光量,并且EDtarget是在目标CD下的曝光量。计算出的曝光宽容度是13%。下面的表1提供了这些结果,其他数值和观察资料。
实施例1
除了使用5-甲基-2-己酮代替醋酸正丁酯作为显影剂外,重复对比例1中的程序。提供60nm(测量得到的是61.25nm)的目标CD的曝光量是39.40mJ/cm2。在这种情况下,接触孔的精确图案具有均匀的尺寸和最终形状。所得到的曝光宽容度是15%并且CD均匀度(3σ)是7.22。
实施例2
除了使用2-庚酮代替醋酸正丁酯作为显影剂外,重复对比例1中的程序。显影后目测显示在晶片表面没有残渣。提供60nm(测量得到的是60.73nm)的目标CD的曝光量是51.10mJ/cm2。在这种情况下,接触孔的精确图案具有均匀的尺寸和最终形状。所得到的曝光宽容度是32%并且CD均匀度(3σ)是7.07。
表1
  对比例   实施例1   实施例2
  显影剂   醋酸正丁酯   5-甲基-2-己酮   2-庚酮
  量(mJ/cm2)/CD(nm)   36.80/60.72   39.40/61.25   51.10/60.73
  CD均匀度(3σ)   8.35   7.22   7.07
曝光宽容度(%) 13 15 32
  闪点(℃)   22   40   39
  NFPA704*   2,3,0   1,2,0   2,2,0
*国家火灾保护协会(U.S.)所主张的安全标准。每一项健康,可燃性和反应被评估为从0(无危险;普通物质)到4(严重的危险)。

Claims (10)

1.一种形成光刻图案的方法,所述方法包括:
(a)提供在其表面上具有一个或多个将被图案化的层的基板;
(b)在该一个或多个将被图案化的层上施加光致抗蚀剂组合物层,该光致抗蚀剂组合物包括具有酸解离基团的树脂和光酸产生剂;
(c)在光化辐射下图案化曝光该光致抗蚀剂组合物层;并且
(d)在光致抗蚀剂组合物层上施加显影剂,其中光致抗蚀剂组合物层中的未曝光区域被显影剂去除,在一个或多个将被图案化的层上形成光致抗蚀剂图案,其中该显影剂包括2-庚酮和/或5-甲基-2-己酮。
2.如权利要求1所述的方法,进一步包括:将光致抗蚀剂图案转移到位于光致抗蚀剂掩模下方的一个或多个层上,其中,通过蚀刻工艺进行图案转移,并且该方法在施加显影剂和蚀刻步骤之间不需要进行显影后的清洗。
3.如权利要求1所述的方法,其中所述显影剂包括2-庚酮。
4.如权利要求1所述的方法,其中所述显影剂包括5-甲基-2-己酮。
5.如权利要求1所述的方法,进一步包括:在第一图案化曝光之后并且施加显影剂之前将光致抗蚀剂组合物层在光化辐射下进行第二图案化曝光,其中第二图案化曝光的图案与第一图案化曝光的图案不相同。
6.如权利要求1所述的方法,其中显影剂包括溶剂的混合物。
7.通过权利要求1中所述的方法形成的电子器件。
8.一种涂覆的基板,所述涂覆的基板包括:
基板,该基板包括在其表面上的将被图案化的一个或多个层;
位于该一个或多个将被图案化的层上的光致抗蚀剂组合物的曝光层,该光致抗蚀剂组合物包括具有酸解离基团的树脂和光酸产生剂;以及
与该光致抗蚀剂组合物的曝光层相接触的显影剂溶液,其中该显影剂包括2-庚酮和/或5-甲基-2-己酮。
9.如权利要求8所述的涂覆的基板,其中显影剂包括2-庚酮。
10.如权利要求8所述的涂覆的基板,其中显影剂包括5-甲基-2-己酮。
CN201110108960.6A 2010-03-05 2011-03-07 形成光刻图案的方法 Active CN102338982B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33954310P 2010-03-05 2010-03-05
US61/339,543 2010-03-05

Publications (2)

Publication Number Publication Date
CN102338982A true CN102338982A (zh) 2012-02-01
CN102338982B CN102338982B (zh) 2014-08-20

Family

ID=44187911

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110108960.6A Active CN102338982B (zh) 2010-03-05 2011-03-07 形成光刻图案的方法

Country Status (7)

Country Link
US (1) US8778601B2 (zh)
EP (1) EP2363749B1 (zh)
JP (1) JP5795481B2 (zh)
KR (2) KR101680721B1 (zh)
CN (1) CN102338982B (zh)
IL (1) IL211532A0 (zh)
TW (1) TWI428958B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107922742A (zh) * 2015-08-31 2018-04-17 日本瑞翁株式会社 树脂组合物
CN108227409A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 光刻图案化的方法
CN108292593A (zh) * 2015-09-30 2018-07-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
CN108333866A (zh) * 2016-11-29 2018-07-27 台湾积体电路制造股份有限公司 光刻图案化的方法
CN112444162A (zh) * 2019-09-02 2021-03-05 西安尚道电子科技有限公司 一种导电布精度靶板制造方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5629520B2 (ja) * 2010-07-28 2014-11-19 富士フイルム株式会社 パターン形成方法及びこの方法に用いられる有機系処理液
JP5767919B2 (ja) * 2010-09-17 2015-08-26 富士フイルム株式会社 パターン形成方法
JP6118500B2 (ja) 2011-02-28 2017-04-19 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
JP2012181523A (ja) 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc 現像剤組成物、およびフォトリソグラフィパターンを形成する方法
US8703401B2 (en) * 2011-06-01 2014-04-22 Jsr Corporation Method for forming pattern and developer
JP5835148B2 (ja) * 2011-08-26 2015-12-24 信越化学工業株式会社 パターン形成方法及びレジスト組成物
JP2013061648A (ja) 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP2013061647A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法
US8790867B2 (en) 2011-11-03 2014-07-29 Rohm And Haas Electronic Materials Llc Methods of forming photolithographic patterns by negative tone development
US8852967B2 (en) * 2012-12-20 2014-10-07 Intermolecular, Inc. Dissolution rate monitor
WO2014159427A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9412647B2 (en) 2013-09-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via definition scheme
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
US9252048B2 (en) 2013-05-14 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal and via definition scheme
CN103309160B (zh) * 2013-07-03 2015-08-26 北京科华微电子材料有限公司 一种负性化学放大光刻胶及其成像方法
KR102198023B1 (ko) 2013-10-30 2021-01-05 삼성전자주식회사 반도체 소자의 패턴 형성방법
KR102324819B1 (ko) 2014-12-12 2021-11-11 삼성전자주식회사 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
CN107219723B (zh) * 2017-08-02 2021-01-22 京东方科技集团股份有限公司 一种金属光栅的制作方法、金属光栅及显示装置
US11799001B2 (en) * 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0064222A2 (en) * 1981-04-21 1982-11-10 Kabushiki Kaisha Toshiba Process for forming resist patterns
US4397938A (en) * 1981-12-14 1983-08-09 Rca Corporation Method of forming resist patterns using X-rays or electron beam
US20020172901A1 (en) * 1998-11-09 2002-11-21 Nec Corporation Method of exposing a lattice pattern onto a photo-resist film
CN1398271A (zh) * 2000-04-04 2003-02-19 大金工业株式会社 具有酸反应性基团的新颖含氟聚合物以及使用这些材料的化学增幅型光刻胶组合物
US6790579B1 (en) * 1996-03-07 2004-09-14 Sumitomo Bakelite Co., Ltd. Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
CN1748181A (zh) * 2003-02-10 2006-03-15 飞索有限责任公司 放射线敏感性树脂组合物、其制造法以及使用其的半导体装置的制造方法
US20060127798A1 (en) * 2002-09-09 2006-06-15 Yukinori Ochiai Resist and method of forming resist pattern
CN1989156A (zh) * 2005-07-20 2007-06-27 株式会社艾迪科 含氟共聚物、碱性显影性树脂组合物以及碱性显影性感光性树脂组合物

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3780357A (en) 1973-02-16 1973-12-18 Hewlett Packard Co Electroluminescent semiconductor display apparatus and method of fabricating the same
JPS57173833A (en) * 1981-04-21 1982-10-26 Toshiba Corp Formation of radiation resist image
JPS57173832A (en) * 1981-04-21 1982-10-26 Toshiba Corp Formation of resist image
DE4120172A1 (de) 1991-06-19 1992-12-24 Hoechst Ag Strahlungsempfindliches gemisch, das als bindemittel neue polymere mit einheiten aus amiden von (alpha),(beta)-ungesaettigten carbonsaeuren enthaelt
US6056421A (en) 1995-08-25 2000-05-02 Michael Brian Johnson Architectural lighting devices with photosensitive lens
US6770420B2 (en) 1996-09-02 2004-08-03 Ciba Specialty Chemicals Corporation Alkylsulfonyloximes for high-resolution i-line photoresists of high sensitivity
JP3448441B2 (ja) 1996-11-29 2003-09-22 三洋電機株式会社 発光装置
US5813753A (en) 1997-05-27 1998-09-29 Philips Electronics North America Corporation UV/blue led-phosphor device with efficient conversion of UV/blues light to visible light
CN2310925Y (zh) 1997-09-26 1999-03-17 陈兴 发光二极管的新结构
US6580097B1 (en) 1998-02-06 2003-06-17 General Electric Company Light emitting device with phosphor composition
US6504180B1 (en) 1998-07-28 2003-01-07 Imec Vzw And Vrije Universiteit Method of manufacturing surface textured high-efficiency radiating devices and devices obtained therefrom
JP3775081B2 (ja) 1998-11-27 2006-05-17 松下電器産業株式会社 半導体発光装置
JP3943741B2 (ja) 1999-01-07 2007-07-11 株式会社東芝 パターン形成方法
JP4256968B2 (ja) 1999-01-14 2009-04-22 スタンレー電気株式会社 発光ダイオードの製造方法
KR20010090354A (ko) 1999-03-26 2001-10-18 가나이 쓰토무 반도체 모듈 및 그 실장 방법
JP3337000B2 (ja) 1999-06-07 2002-10-21 サンケン電気株式会社 半導体発光装置
JP2001099953A (ja) 1999-09-30 2001-04-13 Rhythm Watch Co Ltd 時計の蓋体開閉機構
US6522065B1 (en) 2000-03-27 2003-02-18 General Electric Company Single phosphor for creating white light with high luminosity and high CRI in a UV led device
US6653765B1 (en) 2000-04-17 2003-11-25 General Electric Company Uniform angular light distribution from LEDs
JP3589187B2 (ja) 2000-07-31 2004-11-17 日亜化学工業株式会社 発光装置の形成方法
TW516247B (en) 2001-02-26 2003-01-01 Arima Optoelectronics Corp Light emitting diode with light conversion using scattering optical media
CN1131037C (zh) 2001-02-28 2003-12-17 中国人民解放军第三军医大学 N-乙酰-d-氨基葡萄糖在制备防治性功能障碍药物中的应用
JP4529319B2 (ja) 2001-06-27 2010-08-25 日亜化学工業株式会社 半導体チップとその製造方法
TW595012B (en) 2001-09-03 2004-06-21 Matsushita Electric Ind Co Ltd Semiconductor light-emitting device, light-emitting apparatus and manufacturing method of semiconductor light-emitting device
JP2004031856A (ja) 2002-06-28 2004-01-29 Sumitomo Electric Ind Ltd ZnSe系発光装置およびその製造方法
US7329480B2 (en) * 2002-08-30 2008-02-12 Toyo Gosei Co., Ltd. Radiation-sensitive negative-type resist composition for pattern formation method
JP4415572B2 (ja) 2003-06-05 2010-02-17 日亜化学工業株式会社 半導体発光素子およびその製造方法
US7232641B2 (en) 2003-10-08 2007-06-19 Shin-Etsu Chemical Co., Ltd. Polymerizable compound, polymer, positive-resist composition, and patterning process using the same
JP2005252222A (ja) 2004-02-03 2005-09-15 Matsushita Electric Ind Co Ltd 半導体発光装置、照明モジュール、照明装置、表示素子、および半導体発光装置の製造方法
JP4294521B2 (ja) * 2004-03-19 2009-07-15 東京応化工業株式会社 ネガ型レジスト組成物及びそれを用いたパターン形成方法
EP1738385A1 (en) 2004-04-15 2007-01-03 Koninklijke Philips Electronics N.V. Electrically controllable color conversion cell
JP4471729B2 (ja) 2004-04-30 2010-06-02 シチズン電子株式会社 液晶レンズ付き発光装置
EP1601030B1 (en) 2004-05-24 2019-04-03 OSRAM OLED GmbH Light-emitting electronic component
WO2005121641A1 (en) 2004-06-11 2005-12-22 Koninklijke Philips Electronics N.V. Illumination system
US7217496B2 (en) * 2004-11-12 2007-05-15 International Business Machines Corporation Fluorinated photoresist materials with improved etch resistant properties
US7932111B2 (en) 2005-02-23 2011-04-26 Cree, Inc. Substrate removal process for high light extraction LEDs
JP2006245020A (ja) 2005-02-28 2006-09-14 Sharp Corp 発光ダイオード素子とその製造方法
JP4601464B2 (ja) 2005-03-10 2010-12-22 株式会社沖データ 半導体装置、プリントヘッド、及びそれを用いた画像形成装置
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US7564070B2 (en) 2005-11-23 2009-07-21 Visteon Global Technologies, Inc. Light emitting diode device having a shield and/or filter
DE102005058127A1 (de) 2005-11-30 2007-06-06 Schefenacker Vision Systems Germany Gmbh Fahrzeugleuchte
WO2007107903A1 (en) 2006-03-23 2007-09-27 Koninklijke Philips Electronics N.V. Led-based lighting device with colour control
EP1918778B1 (en) 2006-10-30 2016-08-03 Rohm and Haas Electronic Materials LLC Compositions and processes for immersion lithography
JP2008153373A (ja) 2006-12-15 2008-07-03 Toshiba Corp 半導体装置の製造方法
EP1935452A1 (en) 2006-12-19 2008-06-25 Koninklijke Philips Electronics N.V. Electrochromic device and photodynamic treatment device comprising such an electrochromic device
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
EP2138898B1 (en) * 2007-04-13 2014-05-21 FUJIFILM Corporation Method for pattern formation, and use of resist composition in said method
DE102007022090A1 (de) 2007-05-11 2008-11-13 Osram Opto Semiconductors Gmbh Lichtemittierendes Bauelement
JP4590431B2 (ja) 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP2009199058A (ja) 2007-11-05 2009-09-03 Rohm & Haas Electronic Materials Llc 液浸リソグラフィーのための組成物および方法
ATE520055T1 (de) 2007-11-09 2011-08-15 Koninkl Philips Electronics Nv Lichtausgabevorrichtung
US20090268461A1 (en) 2008-04-28 2009-10-29 Deak David G Photon energy conversion structure
CN102159881B (zh) 2008-09-23 2014-08-13 皇家飞利浦电子股份有限公司 具有热致可变反射元件的发光器件
JP5601884B2 (ja) * 2009-06-04 2014-10-08 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物を用いたパターン形成方法及びパターン
JP5634115B2 (ja) * 2009-06-17 2014-12-03 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0064222A2 (en) * 1981-04-21 1982-11-10 Kabushiki Kaisha Toshiba Process for forming resist patterns
US4454222A (en) * 1981-04-21 1984-06-12 Tokyo Shibaura Denki Kabushiki Kaisha Process for forming resist patterns using mixed ketone developers
US4397938A (en) * 1981-12-14 1983-08-09 Rca Corporation Method of forming resist patterns using X-rays or electron beam
US6790579B1 (en) * 1996-03-07 2004-09-14 Sumitomo Bakelite Co., Ltd. Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US20020172901A1 (en) * 1998-11-09 2002-11-21 Nec Corporation Method of exposing a lattice pattern onto a photo-resist film
CN1398271A (zh) * 2000-04-04 2003-02-19 大金工业株式会社 具有酸反应性基团的新颖含氟聚合物以及使用这些材料的化学增幅型光刻胶组合物
US20060127798A1 (en) * 2002-09-09 2006-06-15 Yukinori Ochiai Resist and method of forming resist pattern
CN1748181A (zh) * 2003-02-10 2006-03-15 飞索有限责任公司 放射线敏感性树脂组合物、其制造法以及使用其的半导体装置的制造方法
CN1989156A (zh) * 2005-07-20 2007-06-27 株式会社艾迪科 含氟共聚物、碱性显影性树脂组合物以及碱性显影性感光性树脂组合物

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107922742A (zh) * 2015-08-31 2018-04-17 日本瑞翁株式会社 树脂组合物
CN108292593A (zh) * 2015-09-30 2018-07-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
CN108292593B (zh) * 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
CN108333866A (zh) * 2016-11-29 2018-07-27 台湾积体电路制造股份有限公司 光刻图案化的方法
CN108333866B (zh) * 2016-11-29 2022-09-30 台湾积体电路制造股份有限公司 光刻图案化的方法
CN108227409A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 光刻图案化的方法
CN108227409B (zh) * 2016-12-15 2022-11-11 台湾积体电路制造股份有限公司 光刻图案化的方法
CN112444162A (zh) * 2019-09-02 2021-03-05 西安尚道电子科技有限公司 一种导电布精度靶板制造方法
CN112444162B (zh) * 2019-09-02 2023-10-13 西安尚道电子科技有限公司 一种导电布精度靶板制造方法

Also Published As

Publication number Publication date
KR20110101098A (ko) 2011-09-15
EP2363749B1 (en) 2015-08-19
IL211532A0 (en) 2011-06-30
JP5795481B2 (ja) 2015-10-14
KR20160036549A (ko) 2016-04-04
EP2363749A3 (en) 2011-11-02
US20110159253A1 (en) 2011-06-30
CN102338982B (zh) 2014-08-20
EP2363749A2 (en) 2011-09-07
US8778601B2 (en) 2014-07-15
KR101680721B1 (ko) 2016-11-29
TWI428958B (zh) 2014-03-01
TW201214508A (en) 2012-04-01
JP2011227465A (ja) 2011-11-10

Similar Documents

Publication Publication Date Title
CN102338982B (zh) 形成光刻图案的方法
US9996008B2 (en) Photoresist pattern trimming methods
JP6328931B2 (ja) フォトレジストパターントリミング方法
JP5698923B2 (ja) 自己整合型スペーサー多重パターニング方法
CN101963754B (zh) 形成电子器件的方法
TWI584061B (zh) 多重圖案的形成方法
TWI485535B (zh) 形成光微影圖案之顯像劑組成物及方法
CN102074462B (zh) 形成电子器件的方法
US9448486B2 (en) Photoresist pattern trimming compositions and methods

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant