KR102324819B1 - 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 - Google Patents

포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102324819B1
KR102324819B1 KR1020140178949A KR20140178949A KR102324819B1 KR 102324819 B1 KR102324819 B1 KR 102324819B1 KR 1020140178949 A KR1020140178949 A KR 1020140178949A KR 20140178949 A KR20140178949 A KR 20140178949A KR 102324819 B1 KR102324819 B1 KR 102324819B1
Authority
KR
South Korea
Prior art keywords
group
photoresist
fluorine
layer
repeating unit
Prior art date
Application number
KR1020140178949A
Other languages
English (en)
Other versions
KR20160071612A (ko
Inventor
박진
김현우
한진규
고차원
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140178949A priority Critical patent/KR102324819B1/ko
Priority to US14/959,601 priority patent/US9842852B2/en
Publication of KR20160071612A publication Critical patent/KR20160071612A/ko
Priority to US15/808,116 priority patent/US10345701B2/en
Application granted granted Critical
Publication of KR102324819B1 publication Critical patent/KR102324819B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

포토레지스트용 고분자는 광화학 반응에 의해 제거 가능한 불소 이탈기를 포함하는 제1 반복단위, 및 상기 불소 이탈기에 의해 제거되는 실리콘 함유 이탈기를 포함하는 제2 반복단위를 포함한다. 광화학 반응에 의해 유도되는 제거 반응에 의해 고해상도의 노광 공정 또는 포토 공정을 구현할 수 있다.

Description

포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법{PHOTORESIST POLYMERS, PHOTORESIST COMPOSITIONS, METHODS OF FORMING PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 이탈기를 포함하는 포토레지스트용 고분자, 이를 포함하는 포토레지스트 조성물, 상기 포토레지스트용 고분자를 활용한 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치에 포함되는 각종 패턴 형성을 위해, 포토레지스트 물질을 사용하는 사진 식각 공정이 활용되고 있다. 예를 들면, 노광 공정을 통해 포토레지스트 막을 노광부 및 비노광부로 구분하고 현상 공정을 통해 상기 노광부 또는 비노광부를 제거하여 포토레지스트 패턴을 형성할 수 있다. 이후, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 절연막 또는 도전막을 패터닝함으로써 원하는 패턴을 형성할 수 있다.
그러나, 상기 노광 공정 시 산과 같은 매개 성분들이 생성되고 상기 매개 성분들의 확산에 의해 상기 사진 식각 공정의 해상도가 저하될 수 있다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트용 고분자를 제공하는 것이다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트 조성물을 제공하는 것이다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트용 고분자를 활용한 패턴 형성 방법을 제공하는 것이다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트용 고분자를 활용한 반도체 장치의 제조 방법을 제공하는 것이다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트용 고분자는 광화학 반응에 의해 제거 가능한 불소 이탈기를 포함하는 제1 반복단위, 및 상기 불소 이탈기에 의해 제거되는 실리콘 함유 이탈기를 포함하는 제2 반복단위를 포함한다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 실릴 에테르(silyl ether)를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 트리메틸실릴(TMS), 터트부틸디메틸실릴(TBDMS), 트리이소프로필실릴(TIPS), 터트부틸디페닐실릴(TBDPS) 또는 이들의 조합을 포함할 수 있다
예시적인 실시예들에 있어서, 상기 제2 반복단위는 하기의 화학식 1로 표시될 수 있다.
[화학식 1]
Figure 112014120830351-pat00001
상기 화학식 1에 있어서, R1은 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R2, R3 및 R4는 서로 상이하거나 동일할 수 있다.
예시적인 실시예들에 있어서, 상기 고분자는 하기의 화학식 2로 표시될 수 있다.
[화학식 2]
Figure 112014120830351-pat00002
상기 화학식 2에 있어서, R1은 및 R5는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 서로 동일하거나 상이한, 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기 이며, a 및 b는 각각 몰비를 표시하고 각각 0.4 내지 0.6이며, a와 b의 합은 1이 될 수 있다.
예시적인 실시예들에 있어서,
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트용 조성물은
예시적인 실시예들에 있어서, 상기 화학식 2에서 불소 원자 및 수소 원자는 서로 엇갈린 배향(staggered conformation) 또는 안티준평면(anti periplanar)으로 배치될 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트용 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자, 활성 불소를 제공하는 불소 함유 소스, 및 용매를 포함한다.
예시적인 실시예들에 있어서, 상기 반복단위는 상술한 화학식 1로 표시될 수 있다.
예시적인 실시예들에 있어서, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 불소 함유 소스는 불소 암모늄 염 또는 불소의 알칼리 금속 염 용액을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 불소 함유 소스는 상기 포토레지스트용 고분자의 반복단위로 병합될 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 2로 표시될 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 광산발생제(photoacid generator) 및 증감제(sensitizer) 중 적어도 하나를 더 포함할 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 패턴 형성 방법에 있어서, 식각 대상막 상에 불소 이탈기를 포함하는 제1 반복단위 및 실리콘 함유 이탈기를 포함하는 제2 반복단위가 중합된 고분자를 포함하는 포토레지스트 막을 형성한다. 상기 포토레지스트 막 상에 노광 공정을 수행하여 상기 불소 이탈기 및 상기 실리콘 함유 이탈기의 반응을 발생시킨다. 상기 포토레지스트 막의 노광부를 제거하여 포토레지스트 패턴을 형성한다.
예시적인 실시예들에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위 내에서 상기 불소 이탈기가 분리되는 제거 반응이 일어날 수 있다.
예시적인 실시예들에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위 내의 불포화도가 증가될 수 있다.
예시적인 실시예들에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위로부터 분리된 상기 불소 이탈기는 상기 제2 반복 단위로 전이되어 상기 실리콘 함유 이탈기를 공격할 수 있다.
예시적인 실시예들에 있어서, 상기 노광부는 상기 포토레지스트 막의 비노광부보다 증가된 친수성 또는 극성을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 노광부에서는 상기 실리콘 함유 이탈기가 제거되면서 히드록실기 또는 카르복실기가 생성될 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 막의 노광부는 현상 공정 또는 건식 식각 공정을 통해 제거될 수 있다.
예시적인 실시예들에 있어서, 상기 고분자는 상술한 화학식 2로 표시될 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 식각 대상막을 패터닝할 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 패턴 형성 방법에 있어서, 식각 대상막 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 고분자, 활성 불소를 제공하는 불소 함유 소스 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성한다. 상기 포토레지스트 막 상에 노광 공정을 수행하여 상기 불소 함유 소스로부터 상기 활성 불소를 상기 실리콘 함유 이탈기로 전이시킨다. 상기 포토레지스트 막의 노광부를 제거하여 포토레지스트 패턴을 형성한다.
예시적인 실시예들에 있어서, 상기 활성 불소는 불소 이온 또는 불소 라디칼을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 광산발생제 및 증감제 중 적어도 하나를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 불소 함유 소스는 불소 이온 염으로 제공되거나, 상기 고분자의 반복 단위로서 병합될 수 있다.
예시적인 실시예들에 있어서, 상기 활성 불소는 상기 실리콘 함유 이탈기와 결합하여 상기 고분자로부터 제거될 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치의 제조 방법에 있어서, 기판 상에 복수의 층간 절연막들 및 희생막들을 교대로 반복적으로 적층하여 몰드 구조물을 형성한다. 상기 몰드 구조물 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 고분자, 활성 불소를 제공하는 불소 함유 소스 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성한다. 상기 포토레지스트 막의 측부를 노광하여 노광부를 형성한다. 상기 노광부를 제거하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 마스크로 사용하여 상기 몰드 구조물의 측부를 부분적으로 식각한다. 상기 몰드 구조물의 중앙부를 관통하는 복수의 수직 채널들을 형성한다. 상기 희생막들을 게이트 라인들로 치환한다.
예시적인 실시예들에 있어서, 상기 포토레지스트 막의 측부를 노광하여 상기 노광부를 형성하는 것, 상기 노광부를 제거하여 상기 포토레지스트 패턴을 형성하는 것, 그리고 상기 포토레지스트 패턴을 마스크로 사용하여 상기 몰드 구조물의 측부를 부분적으로 식각하는 것은 반복적으로 수행될 수 있다. 이에 따라, 상기 몰드 구조물은 계단형 몰드 구조물로 변환될 수 있다.
예시적인 실시예들에 있어서, 상기 노광부는 상기 실리콘 함유 이탈기가 제거되어 상기 포토레지스트 막의 비노광부보다 높은 친수성 또는 극성을 가질 수 있다.
전술한 바와 같이 예시적인 실시예들에 따르면, 포토레지스트용 고분자 또는 포토레지스트 조성물은 불소 함유 소스 및 실리콘 함유 이탈기가 결합된 반복단위를 포함할 수 있다. 예를 들면, 포토레지스트 막 형성 후, 자외선 광원을 활용한 노광 공정에 의해 상기 불소 함유 소스로부터 활성 불소가 생성되어 상기 실리콘 함유 이탈기를 제거할 수 있다. 이에 따라, 상기 실리콘 함유 이탈기는 히드록실기, 카르복실기와 같은 친수성기로 치환될 수 있다.
그러므로, 상기 포토레지스트 막의 노광부 및 비노광부는 식각률 및 극성에 있어서 차이가 발생하며, 광산 발생제와 같은 추가 성분 없이도 고해상도의 포토레지스트 패턴을 형성할 수 있다.
도 1 내지 도 6은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 7 내지 도 14는 일부 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 15 내지 도 33은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고 동일한 구성요소에 대해서 중복된 설명은 생략한다.
포토레지스트용 고분자
예시적인 실시예들에 따른 포토레지스트용 고분자는 주쇄(backbone chain) 상에서 예를 들면, 교대로 반복적으로 연장되는 제1 반복단위 및 제2 반복단위를 포함할 수 있다.
상기 주쇄(backbone chain)는 포토레지스트 물질에 사용되는 일반적인 탄소 사슬을 포함할 수 있다. 예를 들면, 노볼락(novolac), 폴리스티렌(polystyrene), 폴리히드록시 스티렌(polyhydroxystyrene: PHS), 폴리아크릴레이트(polyacrylate), 폴리메타크릴레이트(polymethacrylate), 폴리비닐에스테르(polyvinyl ester), 폴리비닐에테르(polyvinyl ether), 폴리올레핀(polyolefin), 폴리노르보넨(polynorbornene), 폴리에스테르(polyester), 폴리아미드(polyamide), 폴리카보네이트(polycarbonate)와 같은 고분자 사슬이 상기 주쇄로서 사용될 수 있다. 일 실시예에 있어서, 상기 주쇄로서 노볼락, 폴리스티렌, 폴리히드록시 스티렌 또는 폴리아크릴레이트가 사용될 수 있다.
상기 제1 반복 단위는 불소 이탈기를 포함할 수 있다. 예시적인 실시예들에 따르면, 상기 불소 이탈기는 예를 들면 자외선 노광 공정 시 유도되는 광화학 반응에 의해 상기 제1 반복 단위로부터 제거 또는 유리될 수 있다. 일부 실시예들에 있어서, 상기 불소 이탈기는 불화수소(HF) 형태로 상기 제1 이탈기로부터 제거될 수 있다.
상기 제2 반복 단위는 상기 제1 반복단위로부터 이탈된 상기 불소 이탈기와 반응하여 상기 제2 반복 단위로부터 제거될 수 있는 이탈기를 포함할 수 있다. 예시적인 실시예들에 따르면, 상기 제2 반복 단위는 실리콘(Si) 함유 이탈기를 포함할 수 있다.
일부 실시예들에 있어서, 상기 실리콘 함유 이탈기는 실릴 에테르(silyl ether)를 포함할 수 있다. 예를 들면, 상기 실리콘 함유 이탈기는 트리메틸실릴(trimethylsilyl: TMS), 터트부틸디메틸실릴(tert-butyldimethylsilyl: TBDMS), 트리이소프로필실릴(triisopropylsilyl: TIPS), 터트부틸디페닐실릴(tert-butyldiphenylsilyl: TBDPS) 또는 이들의 조합중에서 선택될 수 있다.
예를 들면, 상기 실리콘 함유 이탈기를 포함하는 상기 제2 반복 단위는 하기의 화학식 1로 표시될 수 있다.
[화학식 1]
Figure 112014120830351-pat00003
상기 화학식 1에 있어서, R1은 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기를 나타낼 수 있다. R2, R3 및 R4는 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타낼 수 있다. R2, R3 및 R4는 서로 상이하거나 동일할 수 있다.
일부 예시적인 실시예들에 있어서, 상기 포토레지스트용 고분자는 하기의 화학식 2로 표시될 수 있다.
[화학식 2]
Figure 112014120830351-pat00004
상기 화학식 2에 있어서, "b"로 표시된 우측 반복단위는 상기 제1 반복단위를 표시하며, "a"로 표시된 좌측 반복단위는 상기 제2 반복단위를 표시할 수 있다.
상기 화학식 1에서 설명한 바와 실질적으로 동일한 R1 내지 R4가 상기 화학식 2에서 동일하게 적용될 수 있다. 한편, R5는 R1과 유사하게 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기를 나타낼 수 있다. R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기를 나타낼 수 있다. a 및 b는 각각 몰비를 표시할 수 있다. 일부 실시예들에 있어서, a 및 b는 각각 0.4 내지 0.6이며, a와 b의 합은 1이다.
일부 예시적인 실시예들에 있어서, 상기 제1 및 제2 반복단위는 상기 주쇄 상에서 교대로 반복될 수 있다. 예를 들면, 상기 제1 및 제2 반복단위들이 1:1의 비율로 결합되어 하나의 중합 반복단위가 정의되고, 상기 중합 반복단위들이 상기 주쇄 상에서 반복될 수 있다.
이 경우, 상기 포토레지스트용 고분자는 하기의 화학식 3으로 표시될 수 있다.
[화학식 3]
Figure 112014120830351-pat00005
예를 들면, 상기 화학식 3에서, n은 10 내지 10,000의 정수일 수 있다.
상기 화학식 3 또는 화학식 4에서, 상기 제1 반복 단위에 포함된 수소 원자(H) 및 불소 원자(F)는 상술한 광화학 반응에 의해 유도되는 제거 반응을 촉진할 수 있는 배향으로 위치할 수 있다. 예를 들면, 상기 수소 원자 및 불소 원자는 서로 엇갈린 배향(staggered conformation) 위치 또는 트랜스(trans) 배향으로 배치될 수 있다. 일 예에 있어서, 상기 수소 원자 및 불소 원자는 서로 안티준평면(anti-periplanar)으로 배치될 수 있다.
이에 따라, 예를 들면 극자외선(EUV) 광원을 사용한 노광 공정을 통해 상기 제거 반응이 유도되어 HF가 제거될 수 있다. 이에 따라, 상기 제1 반복 단위에는 예를 들면, 이중 결합이 생성되어 불포화도가 증가할 수 있다.
상기 제1 반복단위로부터 제거된 불소는 예를 들면, 불소 이온(F-) 또는 불소 라디칼(Fㅇ)과 같은 활성 불소로 변환되어 상기 제2 반복 단위의 실리콘 원자를 공격할 수 있다. 따라서, 상기 제2 반복 단위로부터 상기 실리콘 함유 이탈기가 제거되며, 상기 제2 반복 단위에서 상기 실리콘 함유 이탈기가 이탈된 부위에는 예를 들면, 히드록실기(-OH) 또는 카르복실산이 생성될 수 있다. 따라서, 상기 이탈기들이 제거된 상기 포토레지스트용 고분자 부분은 증가된 친수성 및 식각률을 가질 수 있다.
예시적인 실시예들에 따르면, 상기 포토레지스트용 고분자는 포지티브(positive) 타입의 포토레지스트 물질로 제공될 수 있다. 이 경우, 노광 공정이 수행된 상기 포토레지스트용 고분자의 부분이, 예를 들면 식각 공정 또는 현상 공정에 의해 제거될 수 있다.
포토레지스트 조성물
예시적인 실시예들에 따른 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자, 활성 불소를 제공하는 불소 함유 소스, 및 용매를 포함할 수 있다.
상기 실리콘 함유 이탈기는 TMS, TBDMS, TIPS, TBDPS 등과 같은 실릴 에테르(silyl ether)를 포함할 수 있다. 이 경우, 상기 실리콘 함유 이탈기가 결합된 상기 반복단위는 상술한 화학식 1로 표시될 수 있다.
일부 예시적인 실시예들에 따르면, 상기 불소 함유 소스는 상기 포토레지스트용 고분자의 반복단위로서 병합될 수 있다. 이 경우, 상기 포토레지스트용 고분자는 상술한 바와 같이, 불소 이탈기를 포함한 제1 반복 단위 및 상기 실리콘 함유 이탈기를 포함하는 제2 반복 단위의 중합체일 수 있다.
일 실시예에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 2로 표시될 수 있다. 일 실시예에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 3으로 표시될 수 있다.
일부 예시적인 실시예들에 따르면, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액을 포함할 수 있다. 예를 들면, 상기 불소 이온의 유기염의 예로서 테트라부틸암모늄 플루오라이드(tetrabutylammonium fluoride: TBAF)와 같은 유기 불화 암모늄염을 들 수 있다. 상기 불소 이온의 무기염으로서 불화 암모늄(NH4F) 또는 KF, NaF, CsF 등과 같은 불소의 알칼리 금속 염을 포함할 수 있다.
상기 불소이온의 유기염 또는 무기염이 상기 포토레지스트용 고분자와 함께 병존함에 따라, 노광 공정 수행 시, 상기 불소 함유 소스로부터 불소 이온이 생성되어 상기 실리콘 함유 이탈기의 실리콘 원자를 공격할 수 있다. 따라서, 상기 실리콘 함유 이탈기가 상기 반복 단위 또는 상기 포토레지스트용 고분자로부터 제거될 수 있다.
상기 용매는 고분자 물질에 높은 용해성을 가지며, 균일한 두께의 포토레지스트 막을 형성하기 위해 도포성이 우수한 유기 용매를 포함할 수 있다. 상기 용매의 예로서, 사이클로헥사논, 사이클로펜타논, 테트라하이드로퓨란(tetrahydrofuran: THF), 디메틸 포름아미드(dimethyl formamide: DMF), 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA), 에틸 락테이트(ethyl lactate), 메틸에틸케톤, 벤젠, 톨루엔 등을 들 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스가 상기 유기염 또는 무기염 용액으로 제공되는 경우, 상기 용액과 상기 용매간에 일부 상분리가 발생할 수도 있다. 따라서, 상기 불소 함유 소스 용액과 상기 포토레지스트용 고분자의 접촉 및/또는 반응을 촉진하기 위해 계면활성제가 상기 조성물에 첨가될 수도 있다. 상기 계면활성제로서 예를 들면, 소르비톨계 제제 또는 상대적으로 긴 탄소사슬을 갖는 알코올을 사용할 수 있다.
일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 광산 발생제(photoacid generator: PAG)를 더 포함할 수 있다. 상기 PAG는 노광 공정에 의하여 산을 발생시킬 수 있는 화합물이면 특별히 제한되지 않는다. 예를 들면, 상기 PAG로서 오늄염(onium salt), 방향족 디아조늄염(aromatic diazonium salt), 술포늄염(sulfonium salt), 트리아릴술포늄염(triarylsulfonium salt), 디아릴술포늄염(diarylsulfonium salt), 모노아릴술포늄염(monoarylsulfonium salt), 요오드염(iodonium salt), 디아릴요오드염(diaryliodonium salt), 니트로벤질 에스테르(nitrobenzyl ester), 디술폰(disulfone), 디아조-디술폰(diazo-disulfone), 술포네이트(sulfonate), 트리클로로메틸 트리아진(trichloromethyl triazine), N-히드록시숙신이미드 트리플레이트(N-hydroxysuccinimide triflate) 등을 사용할 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
상기 PAG로부터 생성된 산 또는 프로톤(proton)(H+)은 예를 들면, 상기 화학식 2 또는 화학식 3으로 표시된 고분자에서 불소의 제거를 촉진하는 촉매 역할을 수행할 수 있다. 예를 들면, 노광 공정에 의한 광화학 반응 수행 시, 노광부의 상기 제1 반복단위들 중 불소 이탈기가 제거되지 않은 일부의 제1 반복단위가 잔류할 수 있다. 상기 일부의 제1 반복단위들의 불소 이탈기가 상기 PAG로부터 발생된 산에 의해 제거될 수 있다.
상기 PAG가 상기 포토레지스트 조성물에 다량 포함될 경우, 산의 확산에 의해 포토레지스트 패턴의 불량이 발생할 수 있다. 따라서, 상기 PAG는 상기 포토레지스트 조성물에 촉매량에 해당하는 미량으로 첨가될 수 있다.
일부 실시예들에 있어서, 상기 포토레지스트 조성물은 상기 광화학 반응의 촉진을 위해 증감제(sensitizer)를 더 포함할 수 있다. 상기 증감제에 의해 상기 노광 공정 수행시 광자(photon)의 양이 증폭되어 충분한 양의 상기 활성 불소가 생성될 수 있다.
상기 증감제의 예로서, 벤조페논(benzophenone), 벤조일(benzoyl), 티오펜(thiophene), 나프탈렌(naphthalene), 안트라센(anthracene), 페난트렌(phenanthrene), 피렌(pyrene), 쿠마린(coumarin), 티옥산톤(thioxantone), 아세토페논(acetophenone), 나프토퀴논(naphtoquinone), 안트라퀴논(anthraquinone) 등을 들 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
상기 포토레지스트 조성물은 상기 포토레지스트 조성물로부터 형성되는 포토레지스트 막의 화학적, 물리적 물성을 개선하기 위해 첨가제를 더 포함할 수도 있다. 상기 첨가제의 예로서 레벨링제, 점도 개질제 등을 들 수 있다.
상기 포토레지스트 조성물은 포지티브 타입일 수 있다. 예를 들면, 상기 포토레지스트 조성물을 사용하여 형성된 상기 포토레지스트 막 상에 상기 노광 공정 수행 시, 노광부에서는 상기 불소 함유 소스로부터 불소 이온 또는 불소 라디칼을 포함하는 활성 불소가 생성될 수 있다. 상기 활성 불소에 의해 상기 포토레지스트 고분자의 상기 실리콘 함유 이탈기가 제거될 수 있다. 상기 실리콘 함유 이탈기가 제거된 사이트(site)에는 예를 들면, 히드록실기 또는 카르복실산이 생성될 수 있다. 따라서, 상기 노광부는 비노광부에 비해 높은 친수성 및/또는 용해도를 가질 수 있다. 이에 따라, 상기 노광부는 식각 공정 또는 현상 공정에 의해 제거되어 포토레지스트 패턴이 형성될 수 있다.
패턴 형성 방법
도 1 내지 도 6은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다. 예를 들면, 도 1 내지 도 6은 상술한 포토레지스트용 고분자 또는 포토레지스트 조성물을 활용한 패턴 형성 방법을 설명하고 있다.
도 1을 참조하면, 기판(100) 상에 식각 대상막(110)을 형성할 수 있다. 기판(100)으로서 예를 들면, 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, 실리콘-온-인슐레이터(Silicon-On-Insulator: SOI) 기판, 게르마늄-온-인슐레이터(Germanium-On-Insulator: GOI) 기판 등과 같은 반도체 기판을 사용할 수 있다. 일부 실시예들에 있어서, 기판(100)은 GaP, GaAs, GaSb 등과 같은 III-V족 화합물을 포함할 수도 있다.
식각 대상막(110)은 포토레지스트 패턴으로부터 이미지가 전사되어 소정의 패턴으로 변환되는 층을 의미할 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 예를 들면, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물과 같은 절연 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 금속, 금속 질화물, 금속 실리사이드, 금속 실리사이드 질화막과 같은 도전 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 폴리실리콘과 같은 반도체 물질을 포함하도록 형성될 수 있다.
식각 대상막(110)은 예를 들면, 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 플라즈마 강화 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD) 공정, 저압 화학 기상 증착(Low Pressure Chemical Vapor Deposition: LPCVD) 공정, 고밀도 플라즈마 화학 기상 증착(High Density Plasma Chemical Vapor Deposition: HDP-CVD) 공정, 스핀 코팅(spin coating) 공정, 스퍼터링(sputtering) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정, 물리 기상 증착(physical vapor deposition: PVD) 공정 들 중 적어도 하나의 공정을 통해 형성될 수 있다.
도 2를 참조하면, 식각 대상막(110) 상에 순차적으로 반사 방지막(120) 및 포토레지스트 막(130)을 형성할 수 있다.
반사 방지막(120)은 예를 들면, 페놀 수지, 노볼락 수지 등과 같은 방향족 유기 조성물 또는 실리콘 산질화물과 같은 무기 물질을 사용하여 형성될 수 있다. 반사 방지막(120)은 스핀 코팅, 딥 코팅(dip coating), 스프레이 코팅(spray coating) 등의 막 도포 공정을 사용하여 형성될 수 있다. 반사 방지막(120)은 실질적으로 평탄화 막으로 제공될 수도 있다. 일 실시예에 있어서, 반사 방지막(120)의 형성은 생략될 수도 있다.
포토레지스트 막(130)은 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 사용하여 형성될 수 있다. 상술한 바와 같이, 상기 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자, 활성 불소를 제공하는 불소 함유 소스, 및 용매를 포함할 수 있다. 상기 포토레지스트 조성물은 선택적으로 계면 활성제, PAG 및/또는 증감제를 더 포함할 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 상기 포토레지스트용 고분자에 반복단위로서 병합될 수 있다. 이 경우, 상기 포토레지스트용 고분자는 불소 이탈기를 포함하는 제1 반복 단위 및 상기 실리콘 함유 이탈기를 포함하는 제2 반복 단위의 중합체일 수 있다.
일 실시예에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 2 또는 화학식 3으로 표시될 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액으로 제공될 수 있다.
포토레지스트 막(130)은 스핀 코팅 공정, 딥 코팅 공정, 스프레이 코팅 공정 등과 같은 막 도포 공정을 통해 형성될 수 있다. 일부 실시예들에 있어서, 상기 포토레지스트 조성물을 도포하여 예비 포토레지스트 막을 형성한 후, 베이킹(baking) 공정과 같은 경화 공정을 통해 포토레지스트 막(130)이 형성될 수 있다.
도 3을 참조하면, 포토레지스트 막(130) 상에 노광 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 막(130) 상부에 노광 마스크(140)를 배치하고 노광 마스크(140)에 포함된 개방부 또는 투과부를 통해 광을 조사할 수 있다. 상기 노광 공정에 사용되는 광원은 특별히 제한되는 것은 아니나, 예를 들면 ArF, KrF, 전자빔, I-line, 극자외선(EUV) 광원 등을 포함할 수 있다.
상기 노광 공정에 의해 포토레지스트 막(130)은 노광부(133) 및 비노광부(135)로 구분될 수 있다. 예시적인 실시예들에 따르면, 노광부(133)에서는 하기의 반응식 1에 도시된 메카니즘에 따라 화학적 구조가 변성될 수 있다.
반응식 1은 예시적으로, 상기 불소 함유 소스가 상기 포토레지스트용 고분자에 제1 반복 단위로서 병합되고, 상기 포토레지스트용 고분자의 제2 반복 단위에 포함된 실리콘 함유 이탈기가 TBDPS인 경우를 도시하고 있다.
[반응식 1]
Figure 112014120830351-pat00006
상기 반응식 1을 참조하면, 상기 노광 공정 수행 전 포토레지스트 막(130)은 실질적으로 비극성(non-polar) 및/또는 소수성(hydrophobic)을 가질 수 있다. 단계 S10에서 도 3에 도시된 바와 같이 상기 노광 공정이 개시되면, 광자들이 발생하여 상기 제1 반복단위에서 불소가 이탈될 수 있다. 일부 실시예들에 있어서, 상기 포토레지스트 조성물은 증감제를 포함할 수 있다. 이에 따라, 상기 노광 공정에 의해 발생되는 상기 광자의 양 혹은 수를 증가시킬 수 있다.
상기 제1 반복 단위에서 불소(F) 및 수소(H)는 트랜스 또는 안티준평면 배향으로 위치할 수 있다. 따라서, 상기 광자에 의해 불소 및 수소의 제거 반응이 유도될 수 있다. 이에 따라, 노광부(133)의 상기 제1 반복 단위에서는 이중 결합이 생성되어 불포화도가 증가하며, 활성 불소로서 불소 이온(F-)이 발생될 수 있다. 일부 실시예들에 있어서, 상기 광자에 의해 불소 라디칼이 발생될 수도 있다. 또한, 상기 제거 반응에 의해 프로톤(H+)이 함께 발생할 수 있다.
단계 S10에서 발생된 불소 이온은 상기 제2 반복 단위로 전이되어 실리콘 함유 이탈기의 실리콘 원자를 공격할 수 있다.
이에 따라, 단계 S12에서 상기 실리콘 함유 이탈기는 상기 불소 이온과 결합되어 상기 제2 반복 단위 또는 상기 포토레지스트용 고분자로부터 제거될 수 있다. 상기 실리콘 함유 이탈기가 제거된 상기 제2 반복 단위에는 예를 들면 카르복실레이트(carboxylate) 이온이 생성될 수 있다.
단계 S14에서 상기 제2 반복단위에 생성된 카르복실레이트 이온과 단계 S10에서 생성된 프로톤이 결합되어 예를 들면 카르복실산이 생성될 수 있다. 따라서, 노광부(133)는 비노광부(135)에 비해 상승된 극성 및/또는 친수성을 가질 수 있다.
일부 실시예들에 있어서, 예를 들면, 상기 포토레지스트용 고분자가 상기 화학식 3의 구조를 갖는 경우, 상기 제1 반복 단위에 포함된 불소 이탈기 및 상기 제2 반복 단위에 포함된 실리콘 함유 이탈기는 실질적으로 일 대 일로 반응하여 제거될 수 있다. 따라서, PAG의 도움 없이도 상기 노광 공정에 의해 노광부(133) 및 비노광부(135)가 원하는 해상도로 형성될 수 있다.
일부 실시예들에 있어서, 상기 포토레지스트 조성물은 상기 PAG를 촉매량으로 포함할 수도 있다. 상기 노광 공정에 의해 상기 제1 반복 단위들에 포함된 불소 이탈기들이 완전히 제거되지 않는 경우, 상기 PAG로부터 발생된 산(프로톤)에 의해 잔류하는 상기 불소 이탈기들이 상기 제1 반복 단위로부터 제거될 수 있다. 그러므로, 노광부(133)에서의 친수성 및/또는 극성 패턴으로의 변환이 보장될 수 있다.
도 4를 참조하면, 예를 들면 현상 공정을 통해 포토레지스트 막(130)의 노광부(133)를 선택적으로 제거할 수 있다. 이에 따라, 식각 대상막(110) 또는 반사 방지막(120) 상에는 잔류하는 포토레지스트 막(130)의 비노광부(135)에 의해 포토레지스트 패턴(150)이 정의될 수 있다.
일부 예시적인 실시예들에 있어서, 노광부(133)는 건식 식각 공정을 통해 제거될 수 있다. 상기 건식 식각 공정은 예를 들면, 산소 가스 등을 활용한 플라즈마 식각 또는 반응성 이온 식각(Reactive Ion Etching: RIE) 공정 등을 포함할 수 있다.
노광부(133)는 상술한 바와 같이, 카르복실산과 같은 강한 친수성 및/또는 극성 그룹을 포함할 수 있다. 따라서, 노광부(133)는 상기 플라즈마 식각 또는 RIE 공정에 상대적으로 높은 친화도를 가질 수 있다. 그러므로, 비노광부(135) 대비 높은 식각 선택비로 노광부(133)가 제거될 수 있다.
일부 예시적인 실시예들에 있어서, 노광부(133)는 현상 공정을 통해 제거될 수도 있다. 상기 현상 공정에 있어서, 예를 들면 알코올, 테트라메틸 암모늄 히드록사이드(tetra methyl ammonium hydroxide: TMAH)와 같은 히드록사이드 계열 용액 등과 같은 현상액이 사용될 수 있다. 상술한 바와 같이, 광화학 반응에 의해 노광부(133)는 비노광부(135)에 비해 현저히 높은 극성 및/또는 친수성을 갖는 패턴으로 변환되므로, 상기 현상액에 비노광부(135) 대비 높은 용해도를 가질 수 있다. 이에 따라, TMAH와 같은 현상액에 의해 노광부(133)만이 선택적으로 제거될 수 있다.
비교예에 있어서, PAG가 사용되는 화학 증폭 레지스트(Chemically Amplified Resist: CAR) 시스템을 활용한 노광 공정 수행 시, 산이 비노광부(135)로 확산되어 포토레지스트 패턴(150)의 표면 거칠기(roughness)를 증가시킬 수 있다. 또한, 상기 산에 의해 비노광부(135)도 일부 손상되어 원하는 너비 및/또는 피치의 포토레지스트 패턴(150)이 수득되지 않을 수 있다. 포토레지스트 패턴(150) 또는 사진 식각 공정에 의해 형성되는 최종 패턴의 임계 사이즈가 감소될수록 상기 산의 확산에 의한 패턴 손상의 영향은 더욱 심화될 수 있다.
예시적인 실시예들에 따르면, PAG로부터 생성된 산이 배제되거나, 촉매량으로서만 사용될 수 있다. 따라서, 실질적으로 노광부(133)에서의 활성 불소 및 실리콘 함유 이탈기 사이의 반응에 의해서만 극성 및/또는 친수성이 극대화될 수 있다. 그러므로, 산의 확산에 의한 패턴 불량 문제로부터 자유로운 사진 식각 공정 시스템을 구현할 수 있다. 또한, 원하는 미세 너비 및/또는 피치를 갖는 포토레지스트 패턴(150) 및 원하는 식각 대상막(110)의 최종 패턴을 정확하게 형성할 수 있다.
도 5를 참조하면, 포토레지스트 패턴(150)을 식각 마스크로 사용하여 반사 방지막(120) 및 식각 대상막(110)을 식각할 수 있다. 이에 따라, 포토레지스트 패턴(150) 및 기판(100) 사이에는 반사 방지막 패턴(125) 및 식각 대상막 패턴(115)이 형성될 수 있다.
상기 식각 공정은 식각 대상막(110)에 포함된 물질, 및 포토레지스트 패턴(150)과 식각 대상막(110) 사이의 식각 선택비를 고려하여 적절한 건식 또는 습식 식각 공정을 포함할 수 있다.
일부 실시예들에 있어서, 상기 건식 식각 공정은 플라즈마 식각 공정을 포함할 수 있다.
일부 실시예들에 있어서, 상기 습식 식각 공정 수행 시, 식각 대상막(110)에 포함된 물질에 따라, 불산, 인산, 황산 또는 퍼옥사이드(peroxide) 등과 같은 적절한 식각액을 선택할 수 있다.
도 6을 참조하면, 포토레지스트 패턴(150) 및 반사 방지막 패턴(125)을 제거하여, 기판(100) 상에 식각 대상막 패턴(115)을 잔류시킬 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 패턴(150) 및 반사 방지막 패턴(125)은 애싱(ashing) 및/또는 스트립(strip) 공정을 통해 제거될 수 있다. 일부 실시예들에 있어서, 포토레지스트 패턴(150) 및 반사 방지막 패턴(125)은 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정을 통해 제거될 수도 있다.
식각 대상막(110)이 도전 물질로 형성된 경우, 식각 대상막 패턴(115)은 예를 들면, 반도체 장치의 배선, 콘택, 패드, 플러그, 인터커넥션(interconnection) 구조물 등으로 제공될 수 있다.
식각 대상막(110)이 절연 물질로 형성된 경우, 식각 대상막 패턴(115)은 층간 절연막 패턴, 매립 절연 패턴과 같은 소정의 절연 패턴으로 제공될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)의 상술한 사진 식각 공정에 의해 제거된 부분은 예를 들면, 콘택 홀, 개구부, 트렌치와 같은 형태로 상기 절연 패턴에 포함될 수 있다.
도 7 내지 도 14는 일부 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다. 예를 들면, 도 7 내지 도 14는 상술한 포토레지스트용 고분자 또는 포토레지스트 조성물을 활용한 도전 패턴 형성 방법을 설명하고 있다.
도 1 내지 도 6을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략한다.
도 7을 참조하면, 하부 절연막(210)을 관통하는 하부 콘택(215)을 형성할 수 있다. 하부 콘택(215)은 복수 개로 형성되어 하부 절연막(210) 내에 매립될 수 있다.
예시적인 실시예들에 따르면, 패시베이션 막(200) 상에 하부 절연막(210)을 형성하고, 하부 절연막(210) 및 패시베이션 막(200)을 관통하는 콘택 홀을 형성할 수 있다. 이후, 상기 콘택 홀 내부에 도전막을 증착하거나 도금 공정을 통해 충진하여 하부 콘택(215)을 형성할 수 있다.
일부 실시예들에 있어서, 상기 콘택 홀 형성을 위해 하부 절연막(210)을 식각 대상막으로 하여 도 1 및 도 6을 참조로 설명한 패턴 형성 방법을 활용할 수 있다.
하부 절연막(210)은 실리콘 산화물, 실리콘 산질화물과 같은 절연 물질을 포함하도록 형성될 수 있다. 예를 들면, 하부 절연막(210)은 피이오엑스(Plasma Enhanced Oxide: PEOX), 테오스(TetraEthyl OrthoSilicate: TEOS), 비테오스(Boro TetraEthyl OrthoSilicate: BTEOS), 피테오스(Phosphorous TetraEthyl OrthoSilicate: PTEOS), 비피테오스(Boro Phospho TetraEthyl OrthoSilicate: BPTEOS), 비에스지(Boro Silicate Glass: BSG), 피에스지(Phospho Silicate Glass: PSG), 비피에스지(Boro Phospho Silicate Glass: BPSG) 등과 같은 실리콘 산화물 계열의 물질을 포함할 수 있다.
패시베이션 막(200)은 실리콘 질화물을 포함하도록 형성될 수 있다. 상기 도전막은 알루미늄(Al), 텅스텐(W), 구리(Cu) 등과 같은 금속 혹은 금속 질화물을 포함하도록 형성될 수 있다.
일부 실시예들에 있어서, 하부 콘택(215)은 반도체 기판 상에 형성된 회로 소자 또는 하부 배선과 전기적으로 연결될 수 있다. 패시베이션 막(200)에 의해 상기 콘택 홀 형성 시, 상기 회로 소자 혹은 상기 하부 배선의 식각 손상이 방지될 수 있다.
하부 절연막(210) 상에는 하부 콘택들(215)을 덮는 제1 식각 저지막(220)이 형성될 수 있다. 제1 식각 저지막(220)은 실리콘 질화물 또는 실리콘 산질화물을 포함하도록 형성될 수 있다. 예를 들면, 제1 식각 저지막(220)은 CVD 공정, PECVD 공정, 스핀 코팅 공정, ALD 공정 등을 통해 형성될 수 있다.
도 8을 참조하면, 제1 식각 저지막(220) 상에 층간 절연막(225), 버퍼막(230) 및 제2 식각 저지막(235)을 순차적으로 형성될 수 있다.
예를 들면, 층간 절연막(225)은 상술한 실리콘 산화물 계열 물질 또는 폴리 실록산 계열 물질을 사용하여 형성될 수 있다. 버퍼막(230) 및 제2 식각 저지막(235)은 예를 들면, 각각 실리콘 산질화물 및 실리콘 질화물을 포함하도록 형성될 수 있다. 버퍼막(230)에 의해 제2 식각 저지막(235)으로부터 발생되는 응력이 완충 혹은 흡수될 수 있다.
층간 절연막(225), 버퍼막(230) 및 제2 식각 저지막(235)은 CVD 공정, PECVD 공정, 이온빔 스퍼터링 공정과 같은 스퍼터링 공정, 스핀 코팅 공정 등을 통해 형성될 수 있다.
도 9를 참조하면, 제2 식각 저지막(235) 상에 포토레지스트 막(240)을 형성할 수 있다.
포토레지스트 막(240)은 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 사용하여 형성될 수 있다. 상술한 바와 같이, 상기 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자, 활성 불소를 제공하는 불소 함유 소스, 및 용매를 포함할 수 있다. 상기 포토레지스트 조성물은 선택적으로 계면 활성제, PAG 및/또는 증감제를 더 포함할 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 상기 포토레지스용 고분자에 반복단위로서 병합될 수 있다. 이 경우, 상기 포토레지스트용 고분자는 불소 이탈기를 포함하는 제1 반복 단위 및 상기 실리콘 함유 이탈기를 포함하는 제2 반복 단위의 중합체일 수 있다.
일 실시예에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 2 또는 화학식 3으로 표시될 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액으로 제공될 수도 있다.
상기 포토레지스트 조성물을 도포하여 예비 포토레지스트 막을 형성한 후, 베이킹(baking) 공정과 같은 경화 공정을 통해 포토레지스트 막(240)이 형성될 수 있다.
도 10을 참조하면, 도 3 및 도 4를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여 포토레지스트 패턴(250)을 형성할 수 있다.
예시적인 실시예들에 따르면, 노광 공정을 통해 노광부에 포함된 상기 불소 함유 소스로부터 불소 이온 또는 불소 라디칼을 포함하는 활성 불소를 발생시키고, 이를 상기 실리콘 함유 이탈기로 전이시킬 수 있다. 이에 따라, 예를 들면, 상기 반응식 1로 설명한 메커니즘에 의해 상기 제1 및 제2 반복단위에서의 광화학 반응이 유도되어 상기 노광부의 극성 및/또는 친수성이 비노광부에 비해 현저히 증가될 수 있다.
일부 실시예들에 있어서, 상기 노광 공정 시, 버퍼막(230)은 반사 방지막 역할을 수행할 수 있다.
이후, 현상 공정 또는 건식 식각 공정을 통해 상기 노광부를 선택적으로 제거하여 포토레지스트 패턴(250)을 형성할 수 있다.
도 11을 참조하면, 포토레지스트 패턴(250)을 식각 마스크로 사용하여 제2 식각 저지막(235), 버퍼막(230), 층간 절연막(225) 및 제1 식각 저지막(220)을 순차적으로, 부분적으로 식각할 수 있다. 이에 따라, 하부 콘택(215)을 노출시키는 개구부(260)가 형성될 수 있다.
개구부(260)는 예를 들면, 건식 식각 공정을 통해 형성될 수 있다. 개구부(260)는 층간 절연막(225) 및 제1 식각 저지막(220)을 관통하여 하부 콘택(215)의 상면을 적어도 부분적으로 노출시킬 수 있다.
일부 실시예들에 있어서, 개구부(260)는 각각의 하부 콘택(215)을 노출시키는 콘택 홀 형상을 가질 수 있다. 일부 실시예들에 있어서, 개구부(260)는 복수의 하부 콘택들(215)의 상면들을 노출시키며 연장하는 라인 형상을 가질 수도 있다.
도 12를 참조하면, 개구부들(260)을 채우는 도전막을 형성할 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 패턴(250)의 상면 및 측벽들, 개구부들(260)의 측벽 및 저면들(혹은 하부 콘택(215)의 노출된 상면들)을 따라, 컨포멀하게 배리어 막(265)을 형성할 수 있다. 배리어막(265) 상에는 개구부들(260)을 충분히 채우는 도전막(270)을 형성할 수 있다.
배리어 막(265)은 티타늄 질화물(TiNx), 탄탈륨 질화물(TaNx) 또는 텅스텐 질화물(WNx)과 같은 금속 질화물을 포함하도록 형성될 수 있다. 배리어 막(265)은 도전막(270)에 포함된 금속 물질이 층간 절연막(225)으로 확산되는 것을 방지할 수 있다. 또한, 배리어 막(265)에 의해 도전막(270) 형성을 위한 소정의 접착력이 제공될 수 있다. 배리어 막(265)은 예를 들면, 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다.
도전막(270)은 예를 들면, 전해도금(electroplating) 공정을 통해 형성될 수 있다. 이 경우, 예를 들면, 구리 타겟을 사용하는 스퍼터링 공정을 통해 배리어 막(265) 상에 컨포멀한 형상의 씨드(seed) 막을 형성할 수 있다. 이후, 황산구리와 같은 도금액을 사용하여 상기 씨드막을 음극(cathode), 상기 도금액을 양극(anode)로 사용하여 전류를 인가할 수 있다. 이에 따라, 전기화학 반응에 의해 상기 씨드막 상에는 구리를 포함하는 도전막(270)이 석출 또는 성장될 수 있다.
일부 실시예들에 있어서, 도전막(270)은 구리, 텅스텐, 알루미늄 등과 같은 금속 타겟을 사용하는 스퍼터링 공정 또는 ALD 공정을 통해 증착될 수도 있다.
도 13을 참조하면, 도전막(270) 및 배리어막(265)의 상부를 평탄화하여 도전 패턴(280)을 형성할 수 있다.
예시적인 실시예들에 따르면, 도전막(270) 및 배리어막(265)의 상기 상부를 층간 절연막(225)의 상면이 노출될 때까지, 예를 들면 CMP 공정을 통해 평탄화 할 수 있다. 상기 평탄화 공정에 의해 포토레지스트 패턴(250), 제2 식각 저지막(235) 및 버퍼막(230)이 함께 제거될 수 있다.
이에 따라, 개구부(260) 내부에는 하부 콘택(215)과 전기적으로 연결되는 도전 패턴(280)이 형성될 수 있다. 도전 패턴(280)은 개구부(260)의 상기 측벽 및 저면 상에 형성된 배리어막 패턴(267), 및 배리어막 패턴(267) 상에 형성되어 개구부(260)의 나머지 부분을 채우는 도전막 패턴(275)을 포함할 수 있다.
도 12 및 도 13에서는 포토레지스트 패턴(250)이 도전 패턴(280) 형성을 위한 상기 평탄화 공정에 의해 제거되는 것으로 도시되었으나, 포토레지스트 패턴(250)은 개구부(260) 형성 후, 배리어 막(265) 형성 전에 먼저 제거될 수도 있다. 예를 들면, 개구부(260)를 형성한 후, 애싱 및/또는 스트립 공정을 통해 포토레지스트 패턴(250)을 제거할 수 있다.
일부 실시예들에 있어서, 층간 절연막(225) 상면 상에 잔류하는 금속 물질과 같은 식각 잔여물을 제거하기 위한 세정 공정을 더 수행할 수 있다.
도 14를 참조하면, 도전 패턴(280)의 상면을 커버하는 캡핑막 패턴(290)을 형성할 수 있다.
예를 들면, 층간 절연막(225) 상에 도전 패턴들(280)을 덮는 캡핑막을 형성하고, 상기 캡핑막을 부분적으로 식각하여 도전 패턴(280)을 캡핑 또는 커버하는 캡핑막 패턴(290)을 형성할 수 있다.
상기 캡핑막은 도전 패턴(280)에 포함된 금속 보다 화학적으로 안정한 금속을 사용하여 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다. 예를 들면, 상기 캡핑막은 알루미늄, 코발트(Co) 또는 몰리브덴(Mo)과 같은 금속을 사용하여 형성될 수 있다. 상기 캡핑막은 상기 금속의 질화물을 포함하도록 형성될 수도 있다.
상기 캡핑막은 예를 들면, 과산화수소(H202)혹은 퍼옥사이드를 포함한 식각액을 사용하는 습식 식각 공정을 통해 패터닝될 수 있다. 일부 실시예들에 있어서, 상기 캡핑막은 금속 물질간의 친화도에 의해 도전 패턴(280) 상에 자기 조립 또는 자기 정렬될 수도 있다. 이 경우, 별도의 패터닝 공정 없이 캡핑막 패턴(290)이 형성될 수 있다.
일부 실시예들에 있어서, 층간 절연막(225) 및 캡핑막 패턴(290) 상에 추가적인 층간 절연막과, 도전 패턴 및/또는 상부 배선을 형성하는 빌드-업(build-up) 공정을 더 수행할 수 있다. 이 경우, 도전 패턴(280)은 하부 콘택(215) 및 상기 상부 배선을 서로 전기적으로 연결시키는 인터커넥션 구조물로 제공될 수 있다. 일부 실시예들에 있어서, 도전 패턴(280)은 라인 형상으로 연장되며 복수의 하부 콘택들(215)과 전기적으로 연결되는 배선으로 제공될 수도 있다.
상술한 바와 같이, 예시적인 실시예들에 따른 포토레지스트용 고분자 또는 포토레지스트 조성물을 사용하여 도전 패턴(280) 형성을 위한 개구부(260)를 형성할 수 있다.
도전 패턴(280)의 너비 또는 도전 패턴들(280) 사이의 간격이 미세화될수록 개구부(260)의 정렬을 위해 고해상도의 사진 식각 공정이 필요하다. 예시적인 실시예들에 따르면, 실질적으로 광화학적으로 수행되는 활성 불소 및 실리콘 함유 이탈기 사이의 반응에 의해서만 노광 공정이 수행될 수 있다. 따라서, 상술한 CAR 시스템 기반의 사진 식각 공정에서 발생되는 산의 불균일 이동으로 인한 해상도 저하 및 패턴의 불균일 형성의 문제를 방지할 수 있다. 그러므로, 미세 간격, 미세 크기의 도전 패턴(280)을 고해상도로 형성할 수 있다.
추가적으로, 상기 CAR 시스템 기반의 사진 식각 공정에서는 산의 확산에 의한 포토레지스트 막의 손상을 고려하여 상기 포토레지스트 막을 상기 사진 식각 공정에 사용되는 포토레지스트 패턴의 실제 두께보다 두껍게 형성할 필요가 있다. 그러나, 예시적인 실시예들에 따르면 상기 산의 확산으로부터 실질적으로 자유로운 고해상도의 사진 식각 공정 시스템의 구현이 가능하다. 따라서, 여분의 공차(tolerance)를 고려하지 않고 필요한 두께의 포토레지스트 막을 형성할 수 있다. 따라서, 공정 시간 및 공정 비용을 감소시킬 수 있다.
반도체 장치의 제조 방법
도 15 내지 도 33은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 24, 도 27 및 도 29는 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이다. 도 15 내지 도 23, 도 25, 도 26, 도 28, 및 도 30 내지 도 33은 예를 들면, 도 24 및 도 27에 표시된 I-I'라인을 따라 1 방향으로 절단한 단면도들이다.
예를 들면, 도 15 내지 도 33은 기판 상면으로부터 수직 방향으로 연장되는 채널을 포함한 수직형 메모리 장치의 제조 방법을 도시하고 있다.
한편, 도 15 내지 도 33에서 기판 상면에 실질적으로 수직한 방향을 제1 방향, 상기 기판 상면에 평행하면서 서로 교차하는 두 방향을 각각 제2 방향 및 제3 방향으로 정의한다. 예를 들면, 상기 제2 방향 및 상기 제3 방향은 실질적으로 서로 수직하게 교차할 수 있다. 도면상에 화살표로 표시된 방향과 이의 반대 방향은 동일 방향으로 설명한다.
도 15를 참조하면, 기판(300) 상에 층간 절연막들(302, 예를 들면 302a 내지 302g) 및 희생막들(304, 예를 들면 304a 내지 304f)을 교대로 반복적으로 적층하여 몰드 구조물을 형성할 수 있다. 상기 몰드 구조물 또는 최상층의 층간 절연막(302g) 상에는 포토레지스트 막(310)을 형성할 수 있다.
기판(300)으로서 예를 들면, 단결정 실리콘, 단결정 게르마늄 등과 반도체 물질을 포함하는 반도체 기판을 사용할 수 있다.
예시적인 실시예들에 따르면, 층간 절연막들(302)은 실리콘 산화물, 실리콘 탄산화물 혹은 실리콘 산불화물과 같은 산화물 계열의 물질을 사용하여 형성될 수 있다. 희생막들(304)은 층간 절연막(302)에 대해 식각 선택비를 가지며, 습식 식각 공정에 의해 용이하게 제거될 수 있는 물질을 사용하여 형성될 수 있다. 예를 들어, 희생막들(204)은 실리콘 질화물(SiN) 또는 실리콘 붕질화물(SiBN)과 같은 질화물 계열의 물질을 사용하여 형성될 수 있다.
층간 절연막들(302) 및 희생막들(304)은 CVD 공정, PECVD 공정, 스핀 코팅 공정 등을 통해 형성할 수 있다. 일 실시예에 있어서, 최하층의 층간 절연막(302a)은 기판(300) 상면에 대해 열 산화 공정을 수행하여 형성될 수도 있다. 이 경우, 최하층의 층간 절연막(302a)은 다른 층간 절연막들(302b 내지 302g)에 비해 얇은 두께로 형성될 수 있다.
희생막들(304)은 후속 공정을 통해 제거되어 그라운드 선택 라인(Ground Selection Line: GSL), 워드 라인(word line) 및 스트링 선택 라인(String Selection Line: SSL)이 형성되는 공간을 제공할 수 있다. 따라서, 층간 절연막들(302) 및 희생막들(304)이 적층되는 수는 이후 형성되는 상기 GSL, 워드 라인 및 SSL이 적층되는 수에 따라 달라질 수 있다. 예를 들면, 상기 GSL 및 SSL은 각각 1개의 층으로 형성되고, 상기 워드 라인은 4개의 층으로 설계된 경우, 도 15에 도시된 바와 같이 희생막들(304)은 모두 6개의 층으로 적층되며 층간 절연막들(302)은 모두 7개의 층으로 적층될 수 있다. 그러나, 층간 절연막들(302) 및 희생막들(304)이 적층되는 수는 특별히 한정되는 것은 아니며, 상기 반도체 장치의 집적도 및 회로 설계에 따라 적절히 조절될 수 있다.
포토레지스트 막(310)은 상술한 예시적인 실시예들에 따른 포토레지스트 고분자 또는 포토레지스트 조성물을 사용하여 형성될 수 있다. 상술한 바와 같이, 상기 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자, 활성 불소를 제공하는 불소 함유 소스, 및 용매를 포함할 수 있다. 상기 포토레지스트 조성물은 선택적으로 계면 활성제, PAG 및/또는 증감제를 더 포함할 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 상기 포토레지스용 고분자에 반복단위로서 병합될 수 있다. 이 경우, 상기 포토레지스트용 고분자는 불소 이탈기를 포함하는 제1 반복 단위 및 상기 실리콘 함유 이탈기를 포함하는 제2 반복 단위의 중합체일 수 있다.
일 실시예에 있어서, 상기 포토레지스트용 고분자는 상술한 화학식 2 또는 화학식 3으로 표시될 수 있다.
일부 실시예들에 있어서, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액으로 제공될 수도 있다.
상기 포토레지스트 조성물을 상기 몰드 구조물 상에 도포하여 예비 포토레지스트 막을 형성한 후, 베이킹(baking) 공정과 같은 경화 공정을 통해 포토레지스트 막(310)이 형성될 수 있다. 일부 실시예들에 있어서, 포토레지스트 막(310)을 형성하기 전에 반사 방지막을 더 형성할 수도 있다.
도 16을 참조하면, 도 3을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 막(310)의 측부 또는 단부를 노출시키는 노광 마스크를 포토레지스트 막(310) 상부에 배치시키고 노광 공정을 수행할 수 있다. 이에 따라, 포토레지스트 막(310)의 상기 측부 또는 단부를 광화학 반응에 의해 친수성 및/또는 극성이 증가된 노광부(313)로 변환시킬 수 있다.
상술한 바와 같이, 노광부(313)에 포함된 상기 불소 함유 소스로부터 불소 이온 또는 불소 라디칼을 포함하는 활성 불소를 발생시키고, 이를 상기 실리콘 함유 이탈기로 전이시킬 수 있다. 이에 따라, 예를 들면, 상기 반응식 1로 설명한 메커니즘에 의해 상기 제1 및 제2 반복단위에서의 광화학 반응이 유도되어 노광부(313)의 극성 및/또는 친수성이 비노광부에 비해 현저히 증가될 수 있다.
도 17을 참조하면, 도 4를 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 통해 노광부(313)를 제거할 수 있다.
예시적인 실시예들에 따르면, 노광부(313)는 현상 공정 또는 건식 식각 공정을 통해 선택적으로 제거될 수 있다.
도 18을 참조하면, 너비가 감소된 포토레지스트 막(310)을 식각 마스크로 사용하여, 식각 마스크로 사용하여 층간 절연막들(302b 내지 302g) 및 희생막들(304a 내지 304f)의 측부 또는 단부들을 식각할 수 있다.
도 19를 참조하면, 도 16 및 도 17을 참조로 설명한 공정을 반복할 수 있다. 이에 따라, 잔류하는 포토레지스트 막(310)의 단부를 다시 제거하여 포토레지스트 막(310)의 너비를 감소시킬 수 있다.
도 20을 참조하면, 너비가 감소된 포토레지스트 막(310)을 식각 마스크로 다시 사용하여 층간 절연막들(302c 내지 302g) 및 희생막들(304b 내지 304f)의 단부들을 식각할 수 있다.
도 21을 참조하면, 도 19 및 도 20을 참조로 설명한 공정들을 유사한 방식으로 반복할 수 있다. 예를 들면, 포토레지스트 막(310)의 너비 감소 및 층간 절연막들(302) 및 희생막들(304)의 식각 공정을 반복함으로써, 복수의 계단들을 포함하는 계단형 몰드 구조물을 형성할 수 있다.
상술한 바와 같이, 상기 계단형 몰드 구조물을 형성하기 위해서는 포토레지스트 막(310)에 대해 복수의 노광 공정이 반복적으로 수행될 수 있다. 상기 노광 공정이 반복될수록 포토레지스트 막(310)의 두께도 함께 감소할 수 있다. 예를 들면, 상술한 기존의 CAR 시스템 기반의 사진 식각 공정을 수행할 경우, 산의 확산에 의해 상기 계단형 몰드 구조물이 형성되기 전에 포토레지스트 막(310)이 지나치게 손상될 수 있다.
그러나, 상술한 예시적인 실시예들에 따르면, 실질적으로 산의 작용 없이 활성 불소 및 실리콘 함유 이탈기 사이의 광화학 반응에 의해서 잔류하는 포토레지스트 막(310)에 비해 친수성 및/또는 극성이 현저히 증가된 노광부(313)를 형성할 수 있다. 노광부(313)는 건식 식각 공정 또는 현상 공정을 통해 비노광된 포토레지스트 막(310)을 손상시키지 않고 선택적으로 제거될 수 있다.
따라서, 상기 노광 공정이 반복적으로 수행되더라도 포토레지스트 막(310)의 내구성 및 안정성을 확보할 수 있으며, 포토레지스트 막(310)의 두께를 낮출 수 있다.
상기 계단형 몰드 구조물이 형성된 후, 잔류하는 포토레지스트 막(310)은 애싱 및/또는 스트립 공정을 통해 제거될 수 있다.
도 16 내지 도 21에서는 상기 계단형 몰드 구조물 형성을 위한 사진 식각 공정이 상기 몰드 구조물의 일 측부 또는 일 단부에서 수행되는 것으로 도시되었다. 그러나, 상기 사진 식각 공정은 상기 몰드 구조물의 양 측부 또는 양 단부에서 동시에 수행될 수 있다. 이 경우, 실질적으로 피라미드 계단 형태의 몰드 구조물이 형성될 수 있다.
도 22를 참조하면, 상기 계단형 몰드 구조물의 측부를 보호하는 몰드 보호막(315)을 형성할 수 있다.
예를 들면, 실리콘 산화물을 사용하여 스핀 코팅 공정 또는 CVD 공정을 통해 기판(300) 상에 상기 계단형 몰드 구조물을 덮는 절연막을 형성할 수 있다. 상기 절연막의 상부를 최상층의 층간 절연막(302g)이 노출될 때까지, CMP 공정을 통해 평탄화하여 몰드 보호막(315)을 형성할 수 있다.
도 23 및 도 24를 참조하면, 최상층의 층간 절연막(302g)부터 층간 절연막들(302) 및 희생막들(304)을 관통하는 채널 홀들(320)을 형성할 수 있다. 예를 들면, 최상층의 층간 절연막(302g) 및 몰드 보호막(315) 상에 하드 마스크(도시되지 않음)를 형성하고, 상기 하드 마스크를 식각 마스크로 사용하는 건식 식각 공정을 통해 층간 절연막들(302) 및 희생막들(304)을 순차적으로 식각하여 채널 홀(320)을 형성할 수 있다. 채널 홀(320)에 의해 기판(100)의 상면이 노출될 수 있다.
상기 하드 마스크는 예를 들면, 폴리실리콘 또는 스핀-온 하드 마스크(Spin-On Hardmask: SOH) 물질을 사용하여 형성될 수 있다. 채널 홀(320) 형성 후, 상기 하드 마스크는 예를 들면, 애싱 및/또는 스트립 공정을 통해 제거될 수 있다.
일부 예시적인 실시예들에 따르면, 채널 홀(320)은 상술한 예시적인 실시예들에 따른 포토레지스트용 고분자 또는 포토레지스트 조성물을 사용한 패턴 형성 방법에 의해 형성될 수 있다.
예를 들면, 최상층의 층간 절연막(302g) 및 몰드 보호막(315) 상에 도 2를 참조로 설명한 바와 같이 포토레지스트 막을 형성할 수 있다. 이후, 채널 홀(320) 형성될 영역과 중첩되는 상기 포토레지스트 막 부분을 도 3을 참조로 설명한 바와 같이 친수성 및/또는 극성이 증가된 노광부로 변성시킬 수 있다. 도 4를 참조로 설명한 바와 같이, 상기 노광부를 제거하여 포토레지스트 패턴을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 층간 절연막들(302) 및 희생막들(304)을 부분적으로 식각함으로써 채널 홀(320)을 형성할 수 있다.
예시적인 실시예들에 따르면, 채널 홀(320)은 상기 제1 방향으로 연장되며, 복수의 채널 홀들(320)이 상기 제3 방향을 따라 형성되어 채널 홀 열이 정의될 수 있다. 또한, 상기 제2 방향을 따라 복수의 상기 채널 홀 열들이 형성될 수 있다.
도 25를 참조하면, 채널 홀(320) 측벽 상에 유전막 구조물(330)을 형성하고, 유전막 구조물(330) 상에 채널 홀(320)을 채우는 채널 막(333) 및 제1 매립막(335)을 형성할 수 있다.
예시적인 실시예들에 따르면, 최상층의 층간 절연막(302g) 및 몰드 보호막(315)의 상면, 및 채널 홀(320)의 측벽 및 저면을 따라 유전막을 형성한 후, 에치-백 공정을 통해 상기 유전막의 최상층의 층간 절연막(302g) 및 몰드 보호막(315)의 상기 상면들, 및 채널 홀(320)의 상기 저면 상에 형성된 부분들을 제거할 수 있다. 이에 따라, 채널 홀(320) 측벽에 예를 들면, 스트로우(straw) 형상을 갖는 유전막 구조물(330)을 형성할 수 있다.
일부 실시예들에 있어서, 상기 유전막은 채널 홀(320)의 측벽으로부터 블로킹 막, 전하 저장막 및 터널 절연막이 적층된 구조를 가질 수 있다. 예를 들면, 상기 유전막은 산화막-질화막-산화막이 순차적으로 적층된 ONO(Oxide-Nitride-Oxide) 구조를 갖도록 형성될 수 있다.
이후, 최상층의 층간 절연막(302g) 및 몰드 보호막(315)의 상면, 유전막 구조물(330)의 상면 및 내측벽, 및 채널 홀(310)을 통해 노출된 기판(300) 상면을 따라 컨포멀하게 채널 막(333)을 형성할 수 있다. 채널 막(333) 상에는 채널 홀(320)의 나머지 부분을 충분히 채우는 제1 매립막(335)을 형성할 수 있다.
채널 막(333)은 선택적으로 불순물이 도핑된 폴리실리콘을 사용하여 형성될 수 있다. 한편, 비정질 실리콘을 사용하여 채널막을 형성한 후 열처리 또는 레이저 빔 조사에 의해 이를 단결정 실리콘으로 전환시킬 수도 있다. 제1 매립막(335)은 실리콘 산화물과 같은 절연 물질을 사용하여 형성될 수 있다.
도 26 및 도 27을 참조하면, 채널 막(333) 및 제1 매립막(335) 상부를 예를 들면, 최상층의 층간 절연막(302g)이 노출될 때까지 CMP 공정을 통해 평탄화할 수 있다.
이에 따라, 채널 홀(310) 내부에서 유전막 구조물(330)에 의해 둘러싸인 채널(334) 및 제1 매립막 패턴(336)을 형성할 수 있다. 채널(334)은 컵(cup) 형상을 가지며, 채널(334)의 저부는 기판(300) 상면과 접촉할 수 있다. 제1 매립막 패턴(336)의 채널(334) 내부에 수용된 필라(pillar) 형상을 가질 수 있다.
일부 실시예들에 있어서, 채널 홀(310) 형성 이후, 유전막 구조물(330)을 형성하기 전에, 예를 들면 기판(300) 상면으로부터 선택적 에피택셜 성장(Selective Epitaxial Growth: SEG) 공정을 통해 채널 홀(310) 저부를 채우는 반도체 패턴(도시되지 않음)을 형성할 수 있다. 이 경우, 유전막 구조물(330) 및 채널(334)은 상기 반도체 패턴의 상면 상에 형성될 수 있다.
한편, 각 채널 홀(310) 내부에 채널(334)이 형성됨에 따라, 상기 채널 홀 열에 대응하는 채널 열이 정의될 수 있다. 또한, 복수의 상기 채널 열들이 상기 제2 방향을 따라 배열될 수 있다.
도 28을 참조하면, 채널 홀(320) 상부를 캡핑(capping)하는 패드(340)를 형성할 수 있다.
예를 들면, 예를 들면, 유전막 구조물(330), 채널(334) 및 제1 매립막 패턴(336)의 상부를 에치-백 공정을 통해 제거하여 리세스를 형성할 수 있다. 이후, 상기 리세스를 충분히 채우는 패드막을 최상층의 층간 절연막(302g) 및 몰드 보호막(315) 상에 형성할 수 있다. 최상층의 층간 절연막(302g)의 상면이 노출될 때까지 상기 패드막의 상부를 CMP 공정을 통해 평탄화하여 패드(340)를 형성할 수 있다. 예시적인 실시예들에 따르면, 상기 패드막은 폴리실리콘 또는 예를 들면, n형 불순물이 도핑된 폴리실리콘을 사용하여 형성될 수 있다. 이와는 달리, 상기 패드막은 비정질 실리콘을 사용하여 예비 패드막을 형성 후 이를 결정화시킴으로써 형성될 수도 있다.
도 29를 참조하면, 상기 계단형 몰드 구조물 및 몰드 보호막(315)을 부분적으로 식각하여 개구부(350)를 형성할 수 있다.
예를 들면, 패드들(340)을 커버하며 상기 제2 방향으로 인접하는 일부 상기 채널 열들 사이의 최상층의 층간 절연막(302g) 및 몰드 보호막(315)을 부분적으로 노출시키는 하드 마스크(도시되지 않음)를 형성할 수 있다. 상기 하드 마스크를 식각 마스크로 사용하는 건식 식각 공정을 통해 몰드 보호막(315), 층간 절연막들(302) 및 희생막들(304)을 식각하여 개구부(350)를 형성할 수 있다. 상기 하드 마스크는 예를 들면, 포토레지스트 혹은 SOH 물질을 사용하여 형성될 수 있다. 또한 상기 하드 마스크는 개구부(350) 형성 후에 애싱 및/또는 스트립 공정을 통해 제거될 수 있다.
개구부(350)는 상기 제3 방향으로 연장하며, 복수의 개구부들(350)이 소정의 간격으로 상기 제2 방향을 따라 형성될 수 있다. 예를 들면, 상기 제2 방향으로 이웃하는 개구부들(350) 사이에 소정의 개수의 상기 채널 열들이 포함될 수 있다.
또한, 개구부(350)는 상기 제1 방향으로 상기 계단형 몰드 구조물을 관통할 수 있다. 개구부(350)의 저면을 통해 기판(300)의 상면이 노출되며, 개구부(350)의 측벽을 통해 층간 절연막들(302) 및 희생막들(304)이 노출될 수 있다.
도 30을 참조하면, 개구부(350)의 상기 측벽에 의해 노출된 희생막들(304)을 제거할 수 있다.
희생막(304)이 실리콘 질화물을 포함하며 층간 절연막(302)이 실리콘 산화물을 포함하는 경우, 실리콘 질화물에 선택비를 갖는 인산과 같은 식각용액을 사용하여 희생막들(304)을 습식 식각 공정을 통해 제거할 수 있다.
희생막들(304)이 제거됨에 따라, 각 층의 층간 절연막들(302) 사이에 갭(gap)(355)이 형성되며, 갭(355)에 의해 유전막 구조물(330)의 외측벽이 일부 노출될 수 있다.
도 31을 참조하면, 각 층의 갭(355) 내부에 게이트 라인(360, 예를 들면, 360a 내지 360f)을 형성할 수 있다.
예를 들면, 노출된 유전막 구조물(330)의 상기 외측벽들, 층간 절연막들(302)의 표면들, 몰드 보호막(315) 및 패드(340)의 상면 및 개구부(350)에 의해 노출된 기판(300)의 상면을 따라 게이트 전극막을 형성할 수 있다. 상기 게이트 전극막은 갭들(355)을 완전히 채우며, 개구부(350)를 부분적으로 채우도록 형성될 수 있다.
예를 들어, 상기 게이트 전극막은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 백금 등의 전기 저항 및 일함수가 낮은 금속 혹은 금속 질화물을 사용하여 형성될 수 있다. 상기 게이트 전극막은 CVD 공정, PECVD 공정, ALD 공정, PVD 공정 또는 스퍼터링 공정 등을 이용하여 형성될 수 있다.
이후, 상기 게이트 전극막을 부분적으로 제거하여 각 층의 갭(355) 내부에 게이트 라인(360)을 형성할 수 있다.
예를 들면, 상기 게이트 전극막의 상부를 예를 들면, CMP 공정을 통해 최상층의 층간 절연막(302g) 또는 몰드 보호막(315)이 노출될 때까지 평탄화할 수 있다. 이후, 개구부(350) 내부 및 기판(300)의 상기 상면 상에 형성된 상기 게이트 전극막 부분을 식각함으로써 게이트 라인들(360)을 형성할 수 있다. 상기 게이트 전극막은 예를 들면, 과산화수소(H2O2)를 포함하는 습식 식각 공정을 통해 부분적으로 식각될 수 있다.
게이트 라인들(360)은 기판(300)의 상기 상면으로부터 상기 제1 방향을 따라 순차적으로 이격되어 형성된 GSL, 워드 라인 및 SSL을 포함할 수 있다. 예를 들면, 최하층의 게이트 라인(360a)은 상기 GSL로 제공될 수 있다. 상기 GSL 상부의 4층의 게이트 라인들(360b 내지 360e)은 상기 워드 라인으로 제공될 수 있다. 상기 워드 라인 상부의 최상층의 게이트 라인(360f)은 상기 SSL로 제공될 수 있다. 그러나, 상기 GSL, 워드 라인 및 SSL들의 적층 수는 상기 수직형 메모리 장치의 회로 설계 및/또는 집적도 등을 고려하여 적절히 조절될 수 있다.
각 층의 게이트 라인(360)은 상기 제2 방향으로 인접하는 개구부들(350) 사이에 포함된 상기 채널 열들을 감싸며 상기 제3 방향으로 연장될 수 있다. 또한, 게이트 라인들(360)은 상기 제1 방향을 따라 계단형으로 적층될 수 있다. 이에 따라, 각 층의 게이트 라인(360)은 상기 제3 방향으로 돌출된 확장부를 포함할 수 있다.
게이트 라인(360) 형성 후, 개구부(350)에 의해 노출된 기판(300)의 상기 상면에 예를 들면, n형 불순물을 주입하여 불순물 영역(도시되지 않음)을 형성할 수 있다. 예를 들면, 상기 불순물 영역은 상기 제3 방향으로 연장되는 공통 소스 라인(Common Source Line: CSL)으로 제공될 수 있다.
이후, 상기 불순물 영역 상에 개구부(350)를 채우는 제2 매립막(도시되지 않음)을 형성할 수 있다. 제2 매립막은 예를 들면, 실리콘 산화물과 같은 절연물질을 사용하여 CVD 공정을 통해 형성될 수 있다.
도 32를 참조하면, 게이트 라인들(360)에 전기적으로 연결되는 제1 콘택들(370, 예를 들면, 370a 내지 370e)을 형성할 수 있다.
예시적인 실시예들에 따르면, 몰드 보호막(315) 및 층간 절연막들(302)을 부분적으로 식각하여 각 층의 게이트 라인(360)의 상기 확장부를 노출시키는 콘택 홀들을 형성할 수 있다. 이후, 몰드 보호막(315) 상에 상기 콘택 홀들을 채우는 제1 도전막을 형성하고, 몰드 보호막(315) 상면이 노출될 때까지 상기 제1 도전막의 상부를 CMP 공정을 통해 평탄화하여 제1 콘택들(370)을 형성할 수 있다.
일부 예시적인 실시예들에 있어서, 상기 콘택 홀은 예시적인 실시예들에 따른 포토레지스트용 고분자 또는 포토레지스트 조성물을 사용하는 패턴 형성 방법을 통해 형성될 수 있다.
예를 들면, 최상층의 층간 절연막(302g), 패드(340) 및 몰드 보호막(315) 상에 도 2를 참조로 설명한 바와 같이 포토레지스트 막을 형성할 수 있다. 이후, 상기 콘택 홀들이 형성될 영역과 중첩되는 상기 포토레지스트 막 부분을 도 3을 참조로 설명한 바와 같이 친수성 및/또는 극성이 증가된 노광부로 변성시킬 수 있다. 도 4를 참조로 설명한 바와 같이, 상기 노광부를 제거하여 포토레지스트 패턴을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 몰드 보호막(315) 및 층간 절연막(302)을 부분적으로 식각함으로써 상기 콘택 홀들을 형성할 수 있다.
예를 들면, 제1 콘택들(370) 중 일부(예를 들면, 370b 내지 370e)는 워드 라인으로 제공되는 게이트 라인들(예를 들면, 360b 내지 360e)과 접촉할 수 있다. 이 경우, 게이트 라인들(360b 내지 360e)의 상기 확장부는 워드 라인 패드로 기능할 수 있다. 일부 실시예들에 있어서, 최하층의 제1 콘택(370a)은 GSL로 제공되는 게이트 라인(360a)과 접촉할 수 있다.
도 33을 참조하면, 최상층의 층간 절연막(302g), 몰드 보호막(315), 패드(340), 상기 제2 매립막 및 제1 콘택들(370) 상에 상부 절연막(380)을 형성할 수 있다. 상부 절연막(380)은 예를 들면, 실리콘 산화물을 사용하여 CVD 공정 또는 스핀 코팅 공정을 통해 형성될 수 있다. 상부 절연막(380)을 부분적으로 식각하여 패드(340) 및 제1 콘택들(370)을 노출시키는 홀들 또는 개구부들을 형성할 수 있다. 상부 절연막(380) 상에 상기 홀들 또는 상기 개구부들을 채우는 제2 도전막을 형성하고, 상기 제2 도전막의 상부를 상부 절연막(380) 상면이 노출될 때까지 평탄화하여 채널 콘택(387) 및 제2 콘택(385)을 형성할 수 있다.
일부 실시예들에 있어서, 상기 홀들 및 상기 개구부들은 상부 절연막(380)을 식각 대상막으로 하여, 예시적인 실시예들에 따른 포토레지스트 고분자 또는 포토레지스트 조성물을 사용하는 패턴 형성 방법을 통해 형성될 수도 있다.
채널 콘택(387)은 패드(340)와 접촉하며 채널(334)과 전기적으로 연결될 수 있다. 제2 콘택(385)은 제1 콘택(370)과 접촉할 수 있다.
상기 제1 도전막 및 상기 제2 도전막은 텅스텐, 구리 등과 같은 금속 혹은 금속 질화물을 사용하여 ALD 공정, 스퍼터링 공정 등을 통해 형성될 수 있다.
일부 실시예들에 있어서, 상부 절연막(380) 상에 채널 콘택(387) 및 제2 콘택들(385)과 전기적으로 연결되는 도전 라인들을 더 형성할 수 있다.
상기 도전 라인들 중 일부는 예를 들면, 상기 제2 방향으로 연장하여 복수의 채널 콘택들(387)과 전기적으로 연결되는 비트 라인으로 제공될 수 있다. 상기 도전 라인들 중 일부는 제2 콘택들(385)과 전기적으로 연결될 수 있다. 일부 실시예들에 있어서, 제2 콘택(385)은 상기 제2 방향으로 연장하며 복수의 제1 콘택들(370)과 전기적으로 연결되는 배선으로 제공될 수도 있다.
상술한 바와 같이 본 발명의 실시예들을 예시적으로 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
전술한 예시적인 실시예들에 따른 포토레지스트용 고분자 또는 포토레지스트 조성물은 예를 들면, 약 20nm 스케일의 임계 치수를 갖는 초 미세 패턴 형성을 위한 사진 식각 공정에 응용될 수 있다. 상기 사진 식각 공정을 통해 플래시(Flash) 메모리 장치, 디램(DRAM) 장치 등과 같은 각종 메모리 장치 또는 로직 소자와 같은 반도체 장치의 미세 배선, 콘택, 절연 패턴 등을 고해상도로 형성할 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100, 300: 기판 110: 식각 대상막
115: 식각 대상막 패턴 120: 반사 방지막
125: 반사 방지막 패턴 130, 240, 310: 포토레지스트 막
133, 313: 노광부 135: 비노광부
140: 노광 마스크 150, 250: 포토레지스트 패턴
200: 패시베이션 막 210: 하부 절연막
215: 하부 콘택 220: 제1 식각 저지막
225: 층간 절연막 230: 버퍼막
235: 제2 식각 저지막 260: 개구부
265: 배리어 막 267: 배리어막 패턴
270: 도전막 275: 도전막 패턴
280: 도전 패턴 290: 캡핑막 패턴
302: 층간 절연막 304: 희생막
315: 몰드 보호막 320: 채널 홀
330: 유전막 구조물 333: 채널 막
334: 채널 335: 제1 매립막
336: 제1 매립막 패턴 340: 패드
350: 개구부 355: 갭
360: 게이트 라인 370: 제1 콘택
380: 상부 절연막 385: 제2 콘택
387: 채널 콘택

Claims (30)

  1. 광화학 반응에 의해 제거 가능한 불소 이탈기를 포함하는 제1 반복단위; 및
    상기 불소 이탈기에 의해 제거되는 실리콘 함유 이탈기를 포함하는 제2 반복단위를 포함하고,
    하기의 화학식 2로 표시되는 포토레지스트용 고분자.
    [화학식 2]
    Figure 112021046580101-pat00045

    (상기 화학식 2에 있어서, R1은 및 R5는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 서로 동일하거나 상이한, 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기이며, a 및 b는 각각 몰비를 표시하고 각각 0.4 내지 0.6이며, a와 b의 합은 1이다)
  2. 삭제
  3. 제1항에 있어서, 상기 실리콘 함유 이탈기는 트리메틸실릴(TMS), 터트부틸디메틸실릴(TBDMS), 트리이소프로필실릴(TIPS) 및 터트부틸디페닐실릴(TBDPS)으로 구성된 그룹에서 선택되는 적어도 하나를 포함하는 포토레지스트용 고분자.
  4. 삭제
  5. 삭제
  6. 제1항에 있어서, 상기 화학식 2에서 불소 원자 및 수소 원자는 서로 엇갈린 배향(staggered conformation) 또는 안티준평면(anti periplanar)으로 배치되는 포토레지스용 고분자.
  7. 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트용 고분자;
    활성 불소를 제공하는 불소 함유 소스; 및
    용매를 포함하고,
    상기 불소 함유 소스는 상기 포토레지스트용 고분자의 반복단위로 병합되며,
    상기 포토레지스트용 고분자는 하기의 화학식 2로 표시되는 포토레지스트 조성물.
    [화학식 2]
    Figure 112021046580101-pat00046

    (상기 화학식 2에 있어서, R1은 및 R5는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 서로 동일하거나 상이한, 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기이며, a 및 b는 각각 몰비를 표시하고 각각 0.4 내지 0.6이며, a와 b의 합은 1이다)
  8. 삭제
  9. 제7항에 있어서, 상기 불소 함유 소스는 불소 이온의 유기염 또는 무기염 용액을 포함하는 포토레지스트 조성물.
  10. 제9항에 있어서, 상기 불소 함유 소스는 불소 암모늄 염 또는 불소의 알칼리 금속 염 용액을 포함하는 포토레지스트 조성물.
  11. 삭제
  12. 삭제
  13. 제7항에 있어서, 광산발생제(photoacid generator) 및 증감제(sensitizer) 중 적어도 하나를 더 포함하는 포토레지스트 조성물.
  14. 식각 대상막 상에 불소 이탈기를 포함하는 제1 반복단위 및 실리콘 함유 이탈기를 포함하는 제2 반복단위가 중합된 고분자를 포함하는 포토레지스트 막을 형성하고;
    상기 포토레지스트 막 상에 노광 공정을 수행하여 상기 불소 이탈기 및 상기 실리콘 함유 이탈기의 반응을 발생시키고; 그리고
    상기 포토레지스트 막의 노광부를 제거하여 포토레지스트 패턴을 형성하는 것을 포함하며,
    상기 고분자는 하기의 화학식 2로 표시되는 패턴 형성 방법.
    [화학식 2]
    Figure 112021046580101-pat00047

    (상기 화학식 2에 있어서, R1은 및 R5는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 서로 동일하거나 상이한, 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기이며, a 및 b는 각각 몰비를 표시하고 각각 0.4 내지 0.6이며, a와 b의 합은 1이다)
  15. 제14항에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위 내에서 상기 불소 이탈기가 분리되는 제거 반응이 일어나는 패턴 형성 방법.
  16. 제15항에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위 내의 불포화도가 증가하는 패턴 형성 방법.
  17. 제15항에 있어서, 상기 노광 공정에 의해 상기 제1 반복 단위로부터 분리된 상기 불소 이탈기는 상기 제2 반복 단위로 전이되어 상기 실리콘 함유 이탈기를 공격하는 패턴 형성 방법.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 제14항에 있어서, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 상기 식각 대상막을 패터닝하는 하는 것을 더 포함하는 패턴 형성 방법.
  23. 식각 대상막 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 고분자, 활성 불소를 제공하는 불소 함유 소스 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성하고;
    상기 포토레지스트 막 상에 노광 공정을 수행하여 상기 불소 함유 소스로부터 상기 활성 불소를 상기 실리콘 함유 이탈기로 전이시키고; 그리고
    상기 포토레지스트 막의 노광부를 제거하여 포토레지스트 패턴을 형성하는 것을 포함하며,
    상기 불소 함유 소스는 상기 고분자의 반복단위로 병합되며,
    상기 고분자는 하기의 화학식 2로 표시되는 패턴 형성 방법.
    [화학식 2]
    Figure 112021046580101-pat00048

    (상기 화학식 2에 있어서, R1은 및 R5는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이며, R2, R3 및 R4는 각각 독립적으로 서로 동일하거나 상이한, 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이며, R6은 C1~C20의 알킬기, C1~C20의 알릴기, C3~C20의 시클로알킬기, C6~C30의 방향족기, 히드록실기, 히드록시 알킬기 또는 C1~C20의 알콕시기이며, a 및 b는 각각 몰비를 표시하고 각각 0.4 내지 0.6이며, a와 b의 합은 1이다)
  24. 제23항에 있어서, 상기 활성 불소는 불소 이온 또는 불소 라디칼을 포함하는 패턴 형성 방법.
  25. 제24항에 있어서, 상기 포토레지스트 조성물은 광산발생제 및 증감제 중 적어도 하나를 더 포함하는 패턴 형성 방법.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020140178949A 2014-12-12 2014-12-12 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 KR102324819B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020140178949A KR102324819B1 (ko) 2014-12-12 2014-12-12 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
US14/959,601 US9842852B2 (en) 2014-12-12 2015-12-04 Methods of forming patterns using photoresist polymers and methods of manufacturing semiconductor devices
US15/808,116 US10345701B2 (en) 2014-12-12 2017-11-09 Photoresist polymers, photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140178949A KR102324819B1 (ko) 2014-12-12 2014-12-12 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20160071612A KR20160071612A (ko) 2016-06-22
KR102324819B1 true KR102324819B1 (ko) 2021-11-11

Family

ID=56111048

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140178949A KR102324819B1 (ko) 2014-12-12 2014-12-12 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Country Status (2)

Country Link
US (2) US9842852B2 (ko)
KR (1) KR102324819B1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102324819B1 (ko) * 2014-12-12 2021-11-11 삼성전자주식회사 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102599668B1 (ko) * 2016-05-09 2023-11-07 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
TWI753105B (zh) * 2017-02-22 2022-01-21 日商信越化學工業股份有限公司 圖型形成方法
CN106847822B (zh) * 2017-03-08 2018-11-16 长江存储科技有限责任公司 3d nand存储器件、制造方法以及台阶校准方法
CN112930498A (zh) * 2018-10-15 2021-06-08 Asml荷兰有限公司 制造隔膜组件的方法
KR102546653B1 (ko) * 2018-12-11 2023-06-22 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자
US10825691B1 (en) * 2019-08-29 2020-11-03 Micron Technology, Inc. Semiconductor structure stack

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010061116A (ja) * 2008-08-04 2010-03-18 Fujifilm Corp レジスト組成物及びそれを用いたパターン形成方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077643A (en) 1997-08-28 2000-06-20 Shipley Company, L.L.C. Polymers and photoresist compositions
RU2199773C2 (ru) 1997-09-12 2003-02-27 З Би. Эф. Гудрич Кампэни Фоторезистная композиция
US6884562B1 (en) 1998-10-27 2005-04-26 E. I. Du Pont De Nemours And Company Photoresists and processes for microlithography
EP1031878A1 (en) 1999-02-23 2000-08-30 Shipley Company LLC Novel polymers and photoresist compositions comprising same
JP2000314961A (ja) * 1999-04-28 2000-11-14 Fuji Photo Film Co Ltd ネガ型画像記録材料
JP2005532413A (ja) 2001-10-26 2005-10-27 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー エステル基を有するフッ素化ポリマー、およびマイクロリソグラフィー用フォトレジスト
US8383316B2 (en) 2006-07-10 2013-02-26 Pixelligent Technologies, Llc Resists for lithography
KR100591007B1 (ko) 2004-01-14 2006-06-22 금호석유화학 주식회사 신규한 중합체 및 이를 함유한 화학 증폭형 레지스트
CN101278234B (zh) * 2005-09-05 2011-07-13 旭化成电子材料株式会社 正型感光性树脂组合物
KR100795553B1 (ko) * 2006-02-14 2008-01-21 (주)성진기계 소형차용 현가장치
US7300741B2 (en) 2006-04-25 2007-11-27 International Business Machines Corporation Advanced chemically amplified resist for sub 30 nm dense feature resolution
KR101054158B1 (ko) 2006-07-06 2011-08-03 신에쓰 가가꾸 고교 가부시끼가이샤 포지티브형 레지스트 조성물 및 패턴 형성 방법
KR100985929B1 (ko) * 2007-06-12 2010-10-06 샌트랄 글래스 컴퍼니 리미티드 불소 함유 화합물, 불소 함유 고분자 화합물, 포지티브형레지스트 조성물 및 이것을 사용한 패턴 형성방법
JP2009040762A (ja) 2007-08-09 2009-02-26 Ciba Holding Inc オキシムエステル光開始剤
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP4575479B2 (ja) 2008-07-11 2010-11-04 信越化学工業株式会社 化学増幅型ポジ型レジスト組成物及びパターン形成方法
GB0814519D0 (en) 2008-08-08 2008-09-17 Imp Innovations Ltd Process
US8129080B2 (en) 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
JP5401910B2 (ja) * 2008-10-17 2014-01-29 セントラル硝子株式会社 重合性アニオンを有する含フッ素スルホン塩類とその製造方法、含フッ素樹脂、レジスト組成物及びそれを用いたパターン形成方法
JP5580546B2 (ja) 2009-04-07 2014-08-27 ルネサスエレクトロニクス株式会社 レジストパターン形成方法
EP2311888B1 (en) 2009-10-13 2013-11-20 Shin-Etsu Chemical Co., Ltd. Deprotection method of protected polymer
KR20110058128A (ko) 2009-11-25 2011-06-01 제일모직주식회사 레지스트 보호막용 고분자 및 이를 포함하는 레지스트 보호막 조성물
KR101691092B1 (ko) 2010-08-26 2016-12-30 삼성전자주식회사 불휘발성 메모리 장치, 그것의 동작 방법, 그리고 그것을 포함하는 메모리 시스템
JP5598351B2 (ja) 2010-02-16 2014-10-01 信越化学工業株式会社 電子線用又はeuv用化学増幅ポジ型レジスト組成物及びパターン形成方法
JP5561192B2 (ja) 2010-02-26 2014-07-30 信越化学工業株式会社 高分子化合物及びこれを用いた化学増幅ポジ型レジスト組成物並びにパターン形成方法
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
JP5795481B2 (ja) 2010-03-05 2015-10-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトリソグラフィパターンを形成する方法
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101682666B1 (ko) 2010-08-11 2016-12-07 삼성전자주식회사 비휘발성 메모리 장치, 그것의 채널 부스팅 방법, 그것의 프로그램 방법 및 그것을 포함하는 메모리 시스템
JP5434938B2 (ja) 2011-03-01 2014-03-05 信越化学工業株式会社 化学増幅レジスト材料及びパターン形成方法
WO2012135286A2 (en) 2011-04-01 2012-10-04 The Research Foundation Of State University Of New York Stabilized acid amplifiers
JP5482722B2 (ja) 2011-04-22 2014-05-07 信越化学工業株式会社 パターン形成方法
JP5678864B2 (ja) 2011-10-26 2015-03-04 信越化学工業株式会社 ArF液浸露光用化学増幅ポジ型レジスト材料及びパターン形成方法
US20140093823A1 (en) 2012-09-28 2014-04-03 Robert L. Brainard Stabilized acid amplifiers
TWI523872B (zh) 2013-02-25 2016-03-01 羅門哈斯電子材料有限公司 光敏共聚物,包括該共聚物之光阻,及形成電子裝置之方法
KR20140130918A (ko) * 2013-05-02 2014-11-12 삼성전자주식회사 계단 구조를 형성하는 패터닝 방법 및 이를 이용한 반도체 소자의 제조방법
KR102326126B1 (ko) * 2014-12-05 2021-11-15 삼성전자주식회사 포토레지스트용 고분자, 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102324819B1 (ko) * 2014-12-12 2021-11-11 삼성전자주식회사 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010061116A (ja) * 2008-08-04 2010-03-18 Fujifilm Corp レジスト組成物及びそれを用いたパターン形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
S. A. Bulgakova and M. M. Dzhons, Methacrylic Silicon Containing Tercopolymers for Chemically Amplified Resists, Polymer Science, 2010.07.01, Vol. 52, Nos. 5-6, pp. 353-361*

Also Published As

Publication number Publication date
US9842852B2 (en) 2017-12-12
KR20160071612A (ko) 2016-06-22
US10345701B2 (en) 2019-07-09
US20180069020A1 (en) 2018-03-08
US20160170304A1 (en) 2016-06-16

Similar Documents

Publication Publication Date Title
KR102324819B1 (ko) 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102374049B1 (ko) 포토레지스트를 이용한 패턴 형성 방법
KR102653125B1 (ko) 포토레지스트의 하부막 조성물 및 이를 이용한 패턴 형성 방법
CN106959586B (zh) 光刻胶组合物和制造图案化器件的方法
US11003081B2 (en) Photoresist polymers, methods of forming patterns and methods of manufacturing semiconductor devices
US9659811B1 (en) Manufacturing method of semiconductor device
US9810982B2 (en) Photoresist polymers and photoresist compositions
KR20090070474A (ko) 반도체 소자의 미세 패턴 형성 방법
KR20110071084A (ko) 자가-정렬 트렌치 형성
US20170176859A1 (en) Photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices
KR100875662B1 (ko) 반도체 소자의 패턴 형성 방법
US10795263B2 (en) Compositions for removing photoresist
CN109427651B (zh) 半导体结构及其形成方法
KR20150101074A (ko) 포토레지스트 조성물, 이를 이용한 패턴 형성 방법 및 반도체 소자의 제조 방법
CN113725080A (zh) 形成平坦化层的方法以及使用其的图案形成方法
KR102447144B1 (ko) 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
CN111952154A (zh) 图形化方法及其形成的半导体器件
TW202403851A (zh) 基底的處理方法
KR20160043263A (ko) 수직형 메모리 장치의 제조 방법
CN117334561A (zh) 基底的处理方法
KR20100034309A (ko) 반도체 소자의 미세 패턴 형성 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right