KR102447144B1 - 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법 - Google Patents

포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102447144B1
KR102447144B1 KR1020150003553A KR20150003553A KR102447144B1 KR 102447144 B1 KR102447144 B1 KR 102447144B1 KR 1020150003553 A KR1020150003553 A KR 1020150003553A KR 20150003553 A KR20150003553 A KR 20150003553A KR 102447144 B1 KR102447144 B1 KR 102447144B1
Authority
KR
South Korea
Prior art keywords
layer
photoresist
pattern
film
baking
Prior art date
Application number
KR1020150003553A
Other languages
English (en)
Other versions
KR20160086163A (ko
Inventor
김상현
이동근
박병헌
김병국
전찬욱
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150003553A priority Critical patent/KR102447144B1/ko
Priority to US14/983,851 priority patent/US9798241B2/en
Publication of KR20160086163A publication Critical patent/KR20160086163A/ko
Application granted granted Critical
Publication of KR102447144B1 publication Critical patent/KR102447144B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2636Bombardment with radiation with high-energy radiation for heating, e.g. electron beam heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

포토 마스크 제조 방법에 있어서, 투명 기판 상에 차광막을 형성한다. 차광막 상에 마스크 레지스트막을 형성한다. 마스크 레지스트막을 노광하여 노광부 및 비노광부를 형성한다. 노광된 마스크 레지스트막을 복수의 레이저 샷들을 이용해 베이킹한다. 마스크 레지스트막의 노광부 또는 비노광부를 선택적으로 제거하여 마스크 레지스트 패턴을 형성한다. 마스크 레지스트 패턴을 이용하여 차광막을 식각한다.

Description

포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법{METHODS OF MANUFACTURING PHOTOMASKS, METHODS OF FORMING PHOTORESIST PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 노광 및 열처리 공정을 포함하는 포토마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치 제조 공정에서, 회로 패턴, 절연 패턴 등의 형성을 위해 포토리소그래피(photolithography) 공정이 활용되고 있다. 예를 들면, 기판 상에 포토레지스트 막을 형성한 후, 노광 및 현상 공정을 통해 상기 포토레지스트 막으로부터 식각 마스크로 사용되는 포토레지스트 패턴이 형성될 수 있다.
상기 포토리소그래피 공정에 있어서, 상기 포토레지스트 막 또는 상기 포토레지스트 패턴 형성을 위해 열처리 공정이 포함될 수 있다.
본 발명의 일 과제는 우수한 효율성 및 안정성을 갖는 포토 마스크 제조 방법을 제공하는 것이다.
본 발명의 일 과제는 우수한 효율성 및 안정성을 갖는 포토레지스트 패턴의 제조 방법을 제공하는 것이다.
본 발명의 일 과제는 우수한 효율성 및 안정성을 갖는 반도체 장치의 제조 방법을 제공하는 것이다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토 마스크 제조 방법에 있어서, 투명 기판 상에 차광막을 형성한다. 상기 차광막 상에 마스크 레지스트막을 형성한다. 상기 마스크 레지스트막을 노광하여 노광부 및 비노광부를 형성한다. 노광된 상기 마스크 레지스트막을 복수의 레이저 샷들(shots)을 이용해 베이킹(baking)한다. 상기 마스크 레지스트막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 마스크 레지스트 패턴을 형성한다. 상기 마스크 레지스트 패턴을 이용하여 상기 차광막을 식각한다.
예시적인 실시예들에 있어서, 상기 복수의 레이저 샷들은 소정의 시간 간격으로 순차적으로 발생될 수 있다. 상기 복수의 레이저 샷들을 이용해 베이킹함에 있어서, 상기 레이저 샷들의 횟수 및 상기 레이저 샷들의 각 펄스 길이를 조절하여 유효 베이킹 시간을 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 레이저 샷들을 이용해 베이킹함에 있어서, 인접하는 상기 레이저 샷들 사이의 상기 시간 간격을 소정의 길이 범위 내로 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 레이저 샷들의 발생을 위한 광원을 상기 마스크 레지스트막이 형성된 상기 투명 기판의 상부에 배치할 수 있다.
예시적인 실시예들에 있어서, 상기 마스크 레지스트 패턴을 이용하여 상기 차광막을 식각하여 상기 투명 기판 상에 복수의 차광막 패턴들이 형성될 수 있다. 상기 차광막 패턴들의 측벽 및 상면을 커버하는 보호막 패턴을 더 형성할 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트 패턴 형성 방법에 있어서, 기판 상에 포토레지스트 막을 형성한다. 상기 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성한다. 노광된 상기 포토레지스트 막을 복수의 제1 레이저 샷들을 이용해 베이킹한다. 상기 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거한다.
예시적인 실시예들에 있어서, 상기 포토레지스트 막을 노광함에 있어서, 포토레지스트 막 상부에 노광 마스크를 배치할 수 있다. 상기 노광 마스크 제조를 위해 투명 기판 상에 차광막을 형성할 수 있다. 상기 차광막 상에 마스크 레지스트막을 형성할 수 있다. 상기 마스크 레지스트막을 노광하여 노광부 및 비노광부를 형성할 수 있다. 노광된 상기 마스크 레지스트막을 복수의 제2 레이저 샷들을 이용해 베이킹할 수 있다. 상기 마스크 레지스트막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 마스크 레지스트 패턴을 형성할 수 있다. 상기 마스크 레지스트 패턴을 이용하여 상기 차광막을 식각함으로써 복수의 차광막 패턴을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 막을 노광하기 전에 상기 포토레지스트 막을 소프트 베이킹 처리할 수 있다.
예시적인 실시예들에 있어서, 상기 마스크 레지스트막의 노광부 또는 비노광부를 선택적으로 제거하는 것은 현상 공정에 의해 수행될 수 있다. 상기 현상 공정 후 하드 베이킹 처리를 더 수행할 수 있다.
예시적인 실시예들에 있어서, 상기 소프트 베이킹 처리 및 상기 하드 베이킹 처리는 핫 플레이트(hot plate)를 이용한 열 처리를 통해 수행될 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 제1 레이저 샷들은 소정의 시간 간격으로 순차적으로 발생될 수 있다. 상기 복수의 제1 레이저 샷들을 이용해 베이킹함에 있어서, 상기 제1 레이저 샷들의 횟수 및 상기 제1 레이저 샷들의 각 펄스 길이를 조절하여 유효 베이킹 시간을 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 제1 레이저 샷들을 이용해 베이킹함에 있어서, 인접하는 상기 제1 레이저 샷들 사이의 상기 시간 간격을 소정의 길이 범위 내로 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 기판 상에 상기 포토레지스트 막을 형성함에 있어서, 고분자 주쇄 및 상기 고분자 주쇄에 결합된 보호기들을 포함하는 포토레지스트 물질, 광산 발생제 및 용매를 포함하는 포토레지스트 조성물을 제조할 수 있다. 상기 기판 상에 상기 포토레지스트 조성물을 도포할 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 제1 레이저 샷들을 이용한 베이킹에 의해 상기 광산 발생제로부터 산이 확산되어 상기 보호기가 상기 고분자 주쇄로부터 이탈될 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 제1 레이저 샷들을 이용해 베이킹함에 있어서, 상기 산에 의해 상기 보호기 분리를 위한 제1 온도 내지 상기 고분자 주쇄가 손상되는 제2 온도 사이의 온도로 상기 제1 레이저 샷들 각각의 피크(peak) 온도를 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 레이저 샷들의 발생을 위한 광원을 상기 포토레지스트 막이 형성된 상기 기판의 상부에 배치할 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치의 제조 방법에 있어서, 반도체 기판 상에 제1 식각 대상막 및 제1 포토레지스트 막을 순차적으로 형성한다. 상기 제1 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성한다. 노광된 상기 제1 포토레지스트 막을 복수의 제1 레이저 샷들을 이용해 베이킹한다. 상기 제1 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제1 포토레지스트 패턴을 형성한다. 상기 제1 포토레지스트 패턴을 이용해 상기 식각 대상막을 식각하여 제1 식각 대상막 패턴을 형성한다.
예시적인 실시예들에 있어서, 상기 제1 식각 대상막은 절연 물질을 포함하며, 상기 제1 식각 대상막 패턴은 제1 개구부를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 개구부를 채우는 제1 도전 패턴을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 도전 패턴을 형성하기 전에, 상기 제1 개구부를 통해 불순물을 주입하여 상기 반도체 기판 상부에 불순물 영역을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 식각 대상막 패턴 및 상기 제1 도전 패턴을 커버하는 제2 식각 대상막을 형성할 수 있다. 상기 제2 식각 대상막 상에 제2 포토레지스트 막을 형성할 수 있다. 상기 제2 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성할 수 있다. 노광된 상기 제2 포토레지스트 막을 복수의 제2 레이저 샷들을 이용해 베이킹할 수 있다. 상기 제2 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제2 포토레지스트 패턴을 형성할 수 있다. 상기 제2 포토레지스트 패턴을 이용해 상기 제2 식각 대상막을 식각하여 제2 식각 대상막 패턴을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 제2 식각 대상막 패턴은 상기 제1 도전 패턴을 적어도 부분적으로 노출시키는 제2 개구부를 포함할 수 있다. 상기 제2 개구부를 채우며 상기 제1 도전 패턴과 전기적으로 연결되는 제2 도전 패턴을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 복수의 제1 레이저 샷들을 이용한 베이킹 및 상기 복수의 제2 레이저 샷들을 이용한 베이킹에 있어서, 샷들의 시간 간격, 펄스 길이 및 횟수를 조절하여 유효 베이킹 시간을 확보할 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치의 제조 방법에 있어서, 기판 상에 소자 분리막에 의해 한정되는 복수의 액티브 패턴들을 형성한다. 상기 소자 분리막 및 상기 액티브 패턴들 상에 제1 포토레지스트 막을 형성한다. 상기 제1 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성한다. 노광된 상기 제1 포토레지스트 막을 복수의 제1 레이저 샷들을 이용해 베이킹한다. 상기 제1 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제1 포토레지스트 패턴을 형성한다. 상기 제1 포토레지스트 패턴을 이용해 상기 소자 분리막 및 상기 액티브 패턴들을 부분적으로 식각하여 복수의 트렌치들을 형성한다. 상기 트렌치들 각각의 내부에 게이트 구조물을 형성한다.
예시적인 실시예들에 있어서, 상기 게이트 구조물 형성에 있어서, 상기 트렌치들을 채우는 게이트 절연막 및 게이트 전극막을 형성할 수 있다. 상기 게이트 절연막 및 상기 게이트 전극막의 상부들을 부분적으로 제거할 수 있다.
예시적인 실시예들에 있어서, 상기 게이트 구조물과 인접한 상기 액티브 패턴의 상부들에 불순물 영역들을 형성할 수 있다. 상기 불순물 영역들 및 상기 게이트 구조물들을 덮는 도전막을 형성할 수 있다. 상기 도전막 상에 제2 포토레지스트 막을 형성할 수 있다. 상기 제2 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성할 수 있다. 노광된 상기 제2 포토레지스트 막을 복수의 제2 레이저 샷들을 이용해 베이킹할 수 있다. 상기 제2 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제2 포토레지스트 패턴을 형성할 수 있다. 상기 제2 포토레지스트 패턴을 활용하여 상기 도전막을 부분적으로 식각함으로써 복수의 도전 라인들을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 도전 라인은 상기 게이트 구조물과 교차하도록 연장하며, 복수의 상기 불순물 영역들과 전기적으로 연결될 수 있다.
전술한 바와 같이 예시적인 실시예들에 따르면, 포토레지스트 막에 대한 노광 공정 후, 복수의 레이저 샷들을 이용한 베이킹 공정을 수행할 수 있다. 상기 레이저 샷은 기판 상면을 빠르게 가열 및 냉각시키므로 상기 포토레지스트 막의 손상을 방지하면서 노광부를 활성화시킬 수 있다. 또한, 상기 복수의 레이저 샷들을 연속적으로 조사함으로써, 충분한 유효 베이킹 시간을 확보하면서 상기 노광부의 활성화 정도를 제어할 수 있다.
다만, 본 발명의 과제 및 효과는 상기 언급한 바에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1 내지 도 7은 예시적인 실시예들에 따른 포토 마스크 제조 방법을 설명하기 위한 단면도들이다.
도 8 및 도 9는 비교예에 따른 노광 후 베이킹 공정을 설명하기 위한 그래프이다.
도 10은 예시적인 실시예들에 따른 노광 후 베이킹 공정을 설명하기 위한 그래프이다.
도 11 내지 도 15는 예시적인 실시예들에 따른 포토레지스트 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 16 내지 도 27은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.
도 28 내지 도 42는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
도 43은 비교예들에 따른 노광 후 베이킹 공정 및 현상 공정 후, 포토레지스트 막 두께 변화를 나타내는 그래프이다.
도 44는 실시예들에 따른 노광 후 베이킹 공정 및 현상 공정 후, 포토레지스트 막 두께 변화를 나타내는 그래프이다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면들을 참조하여, 본 발명의 예시적인 실시예들에 대해 보다 상세하게 설명하고자 한다.
도 1 내지 도 7은 예시적인 실시예들에 따른 포토 마스크 제조 방법을 설명하기 위한 단면도들이다.
도 1을 참조하면, 투명 기판(100)의 상면 상에 차광막(110), 반사방지막(120) 및 마스크 레지스트 막(130)을 순차적으로 형성하여, 블랭크 마스크(blank mask)를 제조할 수 있다.
투명 기판(100)은 노광 공정 수행시 조사광을 투과시킬 수 있는 재질을 포함할 수 있다. 예를 들면, 투명 기판(100)은 석영 혹은 유리를 포함할 수 있다.
차광막(110)은 투명 기판(100)으로 입사되는 상기 조사광을 차단할 수 있는 물질을 포함할 수 있다. 예를 들면, 차광막(110)은 크롬(Cr), 알루미늄(Al), 루비듐(Ru), 탄탈륨(Ta). 몰리브덴(Mo) 등의 금속물질을 사용하여 형성될 수 있다. 차광막(110)은 물리 기상 증착(Physical Vapor Deposition : PVD) 공정, 스퍼터링(sputtring) 공정, 또는 원자층 증착(Atomic Layer Deposition: ALD) 공정을 통해 형성될 수 있다.
반사 방지막(120)은 마스크 레지스트 막(130)에 대한 후속 노광 공정 수행시, 차광막(110)으로부터의 광 반사를 방지하기 위해 형성될 수 있다. 일부 실시예들에 있어서, 반사 방지막(120)은 크롬 산화물, 크롬 질화물, 티타늄 질화물, 티타늄 산화물 등과 같은 무기 물질을 포함하도록 형성될 수 있다. 이 경우, 반사 방지막(120)은 PVD 공정, 스퍼터링 공정, 화학기상증착(Chemical Vapor Deposition : CVD) 공정, ALD 공정을 통해 형성될 수 있다.
일부 실시예들에 있어서, 반사 방지막(120)은 유기 레지스트 물질을 사용하여 형성될 수도 있다. 이 경우, 반사 방지막(120)은 BARC(Bottom of Anti-Reflection Coating) 조성물을 사용하여 예를 들면, 스핀 코팅 공정을 통해 형성될 수 있다.
마스크 레지스트 막(130)은 예를 들면, 스핀 코팅 공정을 통해 포토레지스트 조성물을 도포한 후, 소프트-베이킹(Soft-Baking) 공정을 수행하여 형성될 수 있다.
상기 포토레지스트 조성물은 유기 용매 내에 포토레지스트 물질을 용해시켜 제조될 수 있다. 상기 포토레지스트 조성물은 광산 발생제(Photo Acid Generator: PAG) 또는 증감제(sensitizer)를 더 포함할 수도 있다.
상기 포토레지스트 물질은 노광된 부분의 가교 결합이 분해되거나, 백-본(back-bone) 사슬에 결합된 보호기가 이탈되는 포지티브(positive) 형 고분자 물질을 포함할 수 있다.
상기 백-본 사슬은 노볼락(novolac), 폴리스티렌(polystyrene), 폴리히드록시 스티렌(polyhydroxystyrene: PHS), 폴리아크릴레이트(polyacrylate), 폴리메타크릴레이트(polymethacrylate), 폴리비닐에스테르(polyvinyl ester), 폴리비닐에테르(polyvinyl ether), 폴리올레핀(polyolefin), 폴리노르보넨(polynorbornene), 폴리에스테르(polyester), 폴리아미드(polyamide), 폴리카보네이트(polycarbonate)와 같은 고분자 사슬을 포함할 수 있다.
상기 보호기는 예를 들면, 아세탈(acetal) 기, 에테르(ether) 기, 티오에테르(thioether) 기 등을 포함할 수 있다. 에테르 보호기의 예로서, t-부틸옥시카르보닐기(t-butyloxycarbonyl: t-Boc)를 들 수 있다.
상기 포토레지스트 물질은 노광된 부분에서 가교 결합이 생성되어 경화되는 네거티브(negative) 형 고분자 물질을 포함할 수도 있다.
이하에서는, 상기 포토레지스트 물질로서 상기 포지티브 형 고분자 물질을 사용하는 것을 예로 들어 설명한다.
상기 광산 발생제는 노광 공정에 의하여 산을 발생시킬 수 있는 화합물이면 특별히 제한되지 않는다. 예를 들면, 상기 광산 발생제로서 오늄염(onium salt), 방향족 디아조늄염(aromatic diazonium salt), 술포늄염(sulfonium salt), 트리아릴술포늄염(triarylsulfonium salt), 디아릴술포늄염(diarylsulfonium salt), 모노아릴술포늄염(monoarylsulfonium salt), 요오드염(iodonium salt), 디아릴요오드염(diaryliodonium salt), 니트로벤질 에스테르(nitrobenzyl ester), 디술폰(disulfone), 디아조-디술폰(diazo-disulfone), 술포네이트(sulfonate), 트리클로로메틸 트리아진(trichloromethyl triazine), N-히드록시숙신이미드 트리플레이트(N-hydroxysuccinimide triflate) 등을 사용할 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
상기 증감제는 광자(photon)의 양을 증폭시켜 노광부의 형성을 촉진하기 위해 첨가될 수 있다. 상기 증감제의 예로서, 벤조페논(benzophenone), 벤조일(benzoyl), 티오펜(thiophene), 나프탈렌(naphthalene), 안트라센(anthracene), 페난트렌(phenanthrene), 피렌(pyrene), 쿠마린(coumarin), 티옥산톤(thioxantone), 아세토페논(acetophenone), 나프토퀴논(naphtoquinone), 안트라퀴논(anthraquinone) 등을 들 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
일부 실시예들에 있어서, 마스크 레지스트 막(130) 형성을 위한 상기 소프트-베이킹 공정은 예를 들면, 핫 플레이트(hot plate)를 사용한 열처리를 포함할 수 있다. 예를 들면, 투명 기판(100)의 저면이 상기 핫 플레이트와 접촉하도록, 투명 기판(100)을 상기 핫 플레이트에 배치시킬 수 있다. 이후, 상기 핫 플레이트를 통해 소정의 온도로 투명 기판(110)의 상기 저면부터 가열하여 상기 포토레지스트 조성물을 예비 경화시킬 수 있다.
상기 소프트-베이킹 공정은 상기 유기 용매의 종류에 따라 차이가 있을 수 있으나, 약 30 oC 내지 약 100 oC의 온도로 수행될 수 있다.
도 2를 참조하면, 마스크 레지스트 막(130)을 선택적으로 노광하여 노광부(135)를 형성할 수 있다. 노광부(135)를 제외한 마스크 레지스트 막(130) 부분은 비노광부(133)로 정의될 수 있다.
노광부(135)에서는 상기 포토레지스트 조성물에 포함된 상기 광산 발생제로부터 산(H+)이 발생될 수 있다. 상기 노광 공정에 사용되는 광원은, 특별히 제한되는 것은 아니나, 예를 들면, ArF, KrF, 전자빔, I-line, 극자외선(EUV) 광원 등을 포함할 수 있다.
도 3을 참조하면, 마스크 레지스트 막(130)에 대해 노광 후 베이킹(Post Exposure Baking: PEB) 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 상기 PEB 공정은 멀티-샷 레이저(Multi-Shot Laser) 공정을 포함할 수 있다. 예를 들면, 복수의 레이저 샷들(Laser Shots)을 상기 노광 공정이 수행된 마스크 레지스트 막(130) 상에 조사하여 상기 PEB 공정을 수행할 수 있다.
상기 멀티-샷 레이저 공정을 위한 레이저 광원은 마스크 레지스트 막(130)이 형성된 투명 기판(100)의 상부에 배치될 수 있다. 이에 따라, 각각의 레이저 샷에 의해 투명 기판(100)의 상기 상면이 빠르게 가열되고 냉각될 수 있다.
상기 복수의 레이저 샷들은 소정의 시간 간격을 두고 연속적으로 순차적으로 조사될 수 있다.
일부 실시예들에 있어서, 마스크 레지스트 막(130)의 중앙부와 같은 특정 지점(spot) 상에 상기 복수의 레이저 샷들이 연속적으로 순차적으로 조사될 수 있다. 일부 실시예들에 있어서, 예를 들면, 마스크 레지스트 막(130)의 각 노광부(135)마다 상기 복수의 레이저 샷들이 연속적으로 순차적으로 조사될 수 있다. 이에 따라, 각 노광부(135) 마다 상기 PEB 공정에 의한 활성화가 촉진될 수 있다.
상술한 바와 같이, 예시적인 실시예들에 따르면 상기 PEB 공정은 핫 플레이트를 사용하지 않고 상기 복수의 레이저 샷들을 사용하여 수행될 수 있다. 상기 핫 플레이트를 사용한 PEB 공정의 경우, 투명 기판(100)의 상기 저면에서부터 가열시키므로, 투명 기판(100)의 부피가 증가되면 베이킹 시간이 지나치게 증가될 수 있다. 이에 따라, 마스크 레지스트 막(130)이 손상될 수 있으며, 베이킹 온도를 노광부(135) 활성화에 필요한 임계 온도까지 증가시키는데 한계가 있다.
그러나, 예시적인 실시예들에 따르면, 싱글 레이저 샷을 복수회로, 소정의 시간 간격으로 연속 조사하여 수행될 수 있다. 상술한 바와 같이, 상기 싱글 레이저 샷은 투명 기판(100)의 상부에서 조사되어 투명 기판(100)의 상기 상면을 예를 들면, 서브-밀리세컨드(sub-ms) 범위에서 빠르게 가열 후, 냉각시킬 수 있다. 상기 싱글 레이저 샷의 횟수, 각 싱글 레이저 샷의 펄스(pulse) 길이를 조절함으로써, 노광부(135) 활성화에 필요한 상기 임계 온도를 만족시키면서 충분한 유효 베이킹 시간을 확보할 수 있다.
상기 PEB 공정에 의해 노광부(135)는 활성화될 수 있다. 예를 들면, 상기 노광 공정에 의해 상기 광산 발생제로부터 발생된 산이 노광부(135)에 균일하게 확산될 수 있다. 따라서, 노광부(135)에 포함된 상기 포토레지스트 물질의 백-본 사슬에 결합된 예를 들면, 아세탈 기 또는 에테르 기와 같은 보호기가 탈보호 또는 이탈될 수 있다. 이에 따라, 노광부(135)의 화학적 성질이 변화될 수 있다. 예를 들면, 노광부(135)는 비노광부(133)보다 강한 친수성 및/또는 극성을 가질 수 있다.
도 4를 참조하면, 현상액을 사용하여 노광부(135)를 선택적으로 제거할 수 있다. 이에 따라, 반사 방지막(120) 상에 잔류하는 비노광부(133)에 의해 마스크 레지스트 패턴(137)이 정의될 수 있다.
상기 현상액은 예를 들면, 알코올 계열 또는 테트라메틸 암모늄 히드록사이드(tetra methyl ammonium hydroxide: TMAH)와 같은 히드록사이드 계열 용액을 포함할 수 있다. 상술한 바와 같이, 상기 노광 공정 및 PEB 공정에 의해 노광부(135)는 비노광부(133)에 비해 현저히 높은 극성 및/또는 친수성을 갖는 패턴으로 변환될 수 있다. 이에 따라, TMAH와 같은 극성 용액에 의해 노광부(135)만이 선택적으로 제거될 수 있다.
일부 실시예들에 있어서, 상기 현상 공정 이후, 하드-베이킹(hard baking) 공정을 더 수행할 수 있다. 상기 하드-베이킹 공정에 의해 포토레지스트 패턴(137)이 추가로 경화될 수 있다. 예를 들면, 상기 하드-베이킹 공정은 약 100 oC 내지 약 200 oC의 온도로 수행될 수 있다.
일 실시예에 있어서, 상기 하드-베이킹 공정은 핫 플레이트를 사용한 열처리를 포함할 수 있다. 예를 들면, 투명 기판(100)의 상기 저면이 상기 핫 플레이트와 접촉하도록, 투명 기판(100)을 상기 핫 플레이트에 배치시킬 수 있다. 이후, 상기 핫 플레이트를 통해 소정의 온도로 투명 기판(100)의 상기 저면부터 가열하여 마스크 레지스트 패턴(137)을 예비 경화시킬 수 있다.
한편, 상기 포토레지스트 물질이 네거티브 형인 경우, 상기 현상 공정에 의해 비노광부(133)가 선택적으로 제거될 수 있다.
도 5를 참조하면, 마스크 레지스트 패턴(137)을 식각 마스크로 사용하여 반사 방지막(120) 및 차광막(110)을 부분적으로 제거할 수 있다. 이에 따라, 마스크 레지스트 패턴(137) 하부에는 반사방지막 패턴(125) 및 차광막 패턴(115)이 형성될 수 있다.
도 6을 참조하면, 마스크 레지스트 패턴(137) 및 반사방지막 패턴(125)을 제거할 수 있다. 예시적인 실시예들에 따르면, 애싱(ashing) 공정 및/또는 스트립(strip) 공정을 통해 마스크 레지스트 패턴(137) 및 반사방지막 패턴(125)을 제거할 수 있다. 일부 실시예들에 있어서, 씬너(thinner) 조성물을 사용하여 마스크 레지스트 패턴(137) 및 반사방지막 패턴(125)을 제거할 수도 있다.
도 7을 참조하면, 차광막 패턴(115)의 표면 상에 보호막(140)을 더 형성할 수도 있다. 예를 들면, 보호막(140)은 각 차광막 패턴(115)의 측벽 및 상면 상에 형성될 수 있다.
예시적인 실시예들에 따르면, 보호막(140)은 차광막 패턴(115)의 상기 표면을 산화 및/또는 질화 처리하여 형성될 수 있다. 예를 들면, 차광막 패턴(115)의 상기 표면에 대해 산소(O2)및 질소(N2)가스를 반응가스로 사용하는 플라즈마 처리 공정을 수행하여 보호막(140)을 형성할 수 있다.
차광막 패턴(115)이 크롬을 포함하는 경우, 보호막(140)은 크롬 산화물, 크롬 질화물 및/또는 크롬 산질화물을 포함할 수 있다.
보호막(140)은 제조된 포토 마스크를 사용하여 노광 공정을 수행 시, 반사 방지막 역할을 수행할 수 있다. 또한, 보호막(140)은 상기 포토 마스크를 재사용하기 위한 리펠리클(repellicle) 공정에서 사용되는 예를 들면, 산성 용액으로부터 차광막 패턴(115)을 보호할 수 있다.
이후, 차광막 패턴(115)을 보호하기 위해 펠리클(pellicle)을 부착할 수 있다.
도 8 및 도 9는 비교예에 따른 노광 후 베이킹 공정을 설명하기 위한 그래프이다. 예를 들면, 도 8 및 도 9는 싱글 레이저 샷을 이용한 PEB 공정 수행 시 각 샷의 시간 및 온도 관계를 도시한 그래프들이다.
도 8 및 도 9에서, T1은 PEB 공정에 의해 보호기가 이탈되어 노광부가 활성화되기 위한 최소 온도를 나타낼 수 있다(이하에서는 제1 온도로 지칭한다). T2는 PEB 공정 시 포토레지스트 막이 손상되지 않고 견딜 수 있는 상한 온도를 나타낼 수 있다(이하에서는 제2 온도로 지칭한다).
도 8을 참조하면, 싱글 레이저 샷을 조사하는 경우 예를 들면, 온도 분포는 실질적으로 정규 분포 곡선을 가질 수 있다. 이에 따라, 실질적으로 노광부 활성화를 위한 유효 베이킹 시간은 상기 제1 온도를 나타내는 수평선 중 상기 곡선과 교차하는 부분의 길이에 해당할 수 있다.
따라서, 충분한 상기 유효 베이킹 시간을 확보하기 위해 상기 싱글 레이저 샷의 온도를 증가시키는 경우 도 8의 우측 곡선과 같이, 피크(peak) 온도가 상기 제2 온도를 초과하여 포토레지스트 막의 백-본 사슬이 손상될 수 있다.
도 9를 참조하면, 좌측 곡선에서 우측 곡선으로 변화되는 것처럼, 상기 싱글 레이저 샷의 조사 시간을 증가시키더라도 상기 제1 온도 이상의 유효 베이킹 시간을 확보하는데는 한계가 있다.
도 10은 예시적인 실시예들에 따른 노광 후 베이킹 공정을 설명하기 위한 그래프이다. 도 10에서 제1 온도(T1) 및 제2 온도(T2)의 정의는 도 8 및 도 9에서와 실질적으로 동일하게 적용될 수 있다.
도 10을 참조하면, 각각의 싱글 레이저 샷은 하나의 곡선으로 표시되며, 4개의 곡선으로 표시된 바와 같이 상기 싱글 레이저 샷이 복수의 횟수로 연속적으로 소정의 시간 간격에 의해 조사될 수 있다.
예를 들면, 상기 각 싱글 레이저 샷의 펄스 길이는 Pd로 표시될 수 있다. 상기 펄스길이는 상기 싱글 레이저 샷에 의한 유효 베이킹 시간과 실질적으로 동일할 수 있다. 또한, 인접하는 상기 싱글 레이저 샷들 사이의 상기 시간 간격은 Td로 표시될 수 있다.
상기 각 싱글 레이저 샷의 피크 온도는 상기 제2 온도 및 상기 제1 온도 사이의 범위로 조절되어 포토레지스트 막의 손상을 방지하면서 노광부에서의 활성화를 유도할 수 있다.
예시적인 실시예들에 따른 멀티-샷 레이저 공정에 있어서, 상기 싱글 레이저 샷들의 횟수 및 각 싱글 레이저 샷의 펄스길이를 조절하여 PEB 공정의 총 유효 베이킹 시간을 조절할 수 있다. 총 유효 베이킹 시간은 하기의 관계식으로 표시될 수 있다.
[관계식]
총 유효 베이킹 시간(T) = 레이저 샷 횟수(n) x 펄스 길이(Pd)
상술한 바와 같이, 각 싱글 레이저 샷들은 기판 상면을 sub-ms 단위로 가열시킬 수 있다. 따라서, 미세한 시간 단위로 상기 유효 베이킹 시간을 자유롭게 조절할 수 있다.
예시적인 실시예들에 따르면, 시간 간격(Td)을 최적 길이로 조절하여 포토레지스트 막의 손상을 방지하면서 노광부에서의 활성화를 진행시킬 수 있다.
시간 간격(Td)의 길이가 지나치게 짧은 경우, 상기 싱글 레이저 샷들이 서로 중첩되면서 적분되는 현상이 발생할 수 있다. 이에 따라, 상기 싱글 레이저 샷을 나타내는 각 곡선들이 병합되어 피크 온도가 제2 온도(T2)를 초과할 수 있다. 따라서, 포토레지스트 막의 백-본 사슬이 분해될 수 있다.
시간 간격(Td)의 길이가 지나치게 큰 경우, 후속 싱글 레이저 샷이 조사되기 전에 포토레지스트 막 또는 기판이 냉각되므로 실질적으로 연속적인 유효 베이킹 시간을 확보하기가 곤란할 수 있다.
상술한 바와 같이, 예시적인 실시예들에 따르면 각 레이저 샷의 횟수, 펄스 길이, 및 상기 레이저 샷들 사이의 간격과 같은 변수들을 조절하여 산의 충분한 확산을 유도하면서 포토레지스트 막의 안정성을 확보할 수 있다. 부가적으로 복수의 상기 레이저 샷들의 애버리징(averaging) 효과에 의해 PEB 공정 이후, 현상 공정에 의한 상기 포토레지스트 막의 제거량이 균일해질 수 있다.
도 11 내지 도 15는 예시적인 실시예들에 따른 포토레지스트 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 1 내지 도 7을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략한다.
도 11을 참조하면, 기판(200) 상에 반사 방지막(210) 및 포토레지스트 막(220)을 형성할 수 있다.
기판(200)은 예를 들면, 실리콘 또는 게르마늄을 포함하는 반도체 웨이퍼일 수 있다. 일부 실시예들에 있어서, 기판(200) 상에 산화막과 같은 식각 대상막이 더 형성될 수도 있다.
반사 방지막(210) 및 포토레지스트 막(220)은 도 1을 참조로 설명한 바와 같이, 예를 들면, 각각 BARC 조성물 및 포토레지스트 조성물을 사용하여 스핀 코팅 공정을 통해 형성될 수 있다. 상기 BARC 조성물 도포 후, 및 상기 포토레지스트 조성물 도포 후, 각각 소프트-베이킹 공정을 통해 반사 방지막(210) 및 포토레지스트 막(220)을 형성할 수 있다.
일부 실시예들에 있어서, 반사 방지막(210)은 무기 산화물, 무기 질화물, 무기 산질화물과 같은 무기 물질을 사용하여 예를 들면, 스퍼터링 공정을 통해 형성될 수도 있다.
도 12를 참조하면, 포토레지스트 막(220)에 대해 노광 공정을 수행할 수 있다. 이에 따라, 포토레지스트 막(220)이 노광부(225) 및 비노광부(223)로 구분될 수 있다.
일부 실시예들에 있어서, 상기 노광 공정에 있어서, 도 1 내지 도 7을 참조로 설명한 공정에 의해 제작된 노광 마스크가 활용될 수 있다. 상기 노광 마스크는 투명 기판(100) 상에 형성된 차광막 패턴(115)을 포함할 수 있으며, 차광막 패턴(115) 표면에는 보호막(140)이 형성될 수 있다. 차광막 패턴들(115) 사이에 노출된 투명 기판(100) 부분은 투과부로 정의될 수 있다.
도 1 내지 도 7을 참조로 설명한 바와 같이, 차광막 패턴(115)을 형성하기 위해 포토리쏘그래피 공정이 수행될 수 있으며, 상기 포토리쏘그래피 공정에 있어서, 복수의 레이저 샷들을 활용한 PEB 공정이 포함될 수 있다.
일부 실시예들에 있어서, 상기 노광 마스크는 핫 플레이트를 이용한 PEB 공정을 포함하는 포토리쏘그래피 공정에 의해 형성된 차광막 패턴을 포함할 수도 있다.
상기 노광 공정에 의해 노광부(225)에서는 광산 발생제에 의해 산이 생성될 수 있다.
도 13을 참조하면, 도 3 및 도 10을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 복수의 레이저 샷들을 연속적으로 순차적으로 조사하는 멀티-샷 레이저 공정을 통해 PEB 공정을 수행할 수 있다. 상술한 바와 같이, 상기 레이저 샷들의 횟수, 각 레이저 샷의 펄스 길이, 인접하는 상기 레이저 샷들 사이의 시간 간격, 각 레이저 샷의 피크 온도 등과 같은 변수들을 조절하여 상기 PEB 공정의 유효 베이킹 시간을 조절할 수 있다. 또한, 레이저 광원은 포토레지스트 막(220)이 형성된 기판(200)의 상부에 배치될 수 있다.
상기 PEB 공정에 의해 상기 산이 확산되어 노광부(225)가 활성화되며, 노광부(225)에 포함된 보호기가 포토레지스트 백-본 사슬로부터 이탈될 수 있다. 또한, 상기 PEB 공정에 의해 포토레지스트 막(220)이 손상되는 것을 방지할 수 있다.
도 14를 참조하면, 도 4를 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 현상 공정을 통해 예를 들면 노광부(225)를 선택적으로 제거할 수 있다. 반사 방지막(210) 상에 잔류하는 비노광부(223)에 의해 포토레지스트 패턴(227)이 정의될 수 있다.
도 15를 참조하면, 포토레지스트 패턴(227)을 식각 마스크로 사용하여 반사 방지막(210)을 부분적으로 식각할 수 있다. 이에 따라, 포토레지스트 패턴(227) 및 기판(200) 사이에는 반사 방지막 패턴(215)이 형성될 수 있다.
상술한 바와 같이, 멀티-샷 레이저 공정을 포함하는 PEB 공정을 통해 예를 들면, 반도체 기판 상에 식각 마스크로 활용될 수 있는 포토레지스트 패턴(227)을 형성할 수 있다.
일부 실시예들에 있어서, 포토레지스트 패턴(227) 형성 및 상기 노광 마스크의 차광막 패턴(115) 형성을 위한 공정 모두에서 상술한 멀티-샷 레이저 공정을 포함하는 PEB 공정이 수행될 수 있다.
일부 실시예들에 있어서, 상기 노광 마스크의 차광막 패턴(115) 형성에서는 상기 멀티-샷 레이저 공정을 포함하는 PEB 공정이 포함되며, 포토레지스트 패턴(227) 형성을 위한 PEB 공정은 기판(200) 저면을 통해 핫 플레이트를 이용하여 수행될 수도 있다.
일부 실시예들에 있어서, 상기 노광 마스크의 차광막 패턴(115) 형성에서는 상기 핫 플레이트를 활용한 PEB 공정이 포함되며, 포토레지스트 패턴(227) 형성을 위한 PEB 공정은 상기 멀티-샷 레이저 공정을 포함할 수도 있다.
도 16 내지 도 27은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.
도 1 내지 도 7, 또는 도 11 내지 도 15를 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략한다.
도 16을 참조하면, 기판(200) 상에 제1 식각 대상막(205), 반사 방지막(210) 및 포토레지스트 막(220)을 순차적으로 형성할 수 있다.
기판(200)은 예를 들면, 실리콘 혹은 게르마늄을 포함하는 반도체 웨이퍼로부터 제조될 수 있다. 기판(200)은 실리콘-온-인슐레이터(Silicon-On-Insulator: SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium-On-Insulator: GOI) 기판을 포함할 수도 있다.
제1 식각 대상막(205)은 포토리소그래피 공정에 의해 부분적으로 식각되는 막일 수 있다. 예시적인 실시예들에 있어서, 제1 식각 대상막(205)은 상기 포토리소그래피 공정에 의해 일부가 식각되어 예를 들면 복수의 홀들 또는 라인 형상의 개구부들을 포함하는 패턴으로 변환될 수 있다.
제1 식각 대상막(205)은 예를 들면, 실리콘 산화물 계열의 절연 물질을 포함하도록 형성될 수 있다. 예를 들면, 제1 식각 대상막(205)은 피이오엑스(Plasma Enhanced Oxide: PEOX), 테오스(TetraEthyl OrthoSilicate: TEOS), 비테오스(Boro TetraEthyl OrthoSilicate: BTEOS), 피테오스(Phosphorous TetraEthyl OrthoSilicate: PTEOS), 비피테오스(Boro Phospho TetraEthyl OrthoSilicate: BPTEOS), 비에스지(Boro Silicate Glass: BSG), 피에스지(Phospho Silicate Glass: PSG), 비피에스지(Boro Phospho Silicate Glass: BPSG) 등과 같은 실리콘 산화물 계열의 물질을 포함할 수 있다.
제1 식각 대상막(205)은 예를 들면, CVD 공정, 플라즈마 강화 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD) 공정, ALD 공정, 또는 기판(200) 상면에 대한 열산화 공정 등을 통해 형성될 수 있다.
반사 방지막(210) 및 포토레지스트 막(220)은 상술한 바와 같이 각각 BARC 조성물 및 포토레지스트 조성물을 사용하여 스핀 코팅 공정 및 소프트-베이킹 공정을 통해 형성될 수 있다. 상기 소프트-베이킹 공정은 예를 들면, 핫 플레이트를 활용하여 수행될 수 있다.
도 17을 참조하면, 도 12를 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 투명 기판(100), 차광막 패턴(115) 및 보호막(140)을 포함하는 노광 마스크를 활용하여 포토레지스트 막(220)에 대해 노광 공정을 수행할 수 있다. 상기 노광 공정에 의해 포토레지스트 막(220)은 노광부(225) 및 비노광부(223)로 구분될 수 있다.
상기 노광 마스크는 도 1 내지 도 7을 참조로 설명한 공정에 의해 제작될 수 있으며, 차광막 패턴(115) 형성을 위해 복수의 레이저 샷들을 활용한 PEB 공정이 수행될 수 있다.
도 18을 참조하면, 도 3, 도 10 또는 도 13을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 이에 따라, 복수의 레이저 샷들을 연속적으로 순차적으로 조사하는 멀티-샷 레이저 공정을 통해 PEB 공정을 수행할 수 있다. 상기 PEB 공정에 의해 포토레지스트 막(220)의 손상을 억제하면서, 노광부(225)에 포함된 산이 확산되어 노광부(225)가 활성화될 수 있다.
도 19를 참조하면, 현상 공정을 통해 노광부(225)를 선택적으로 제거할 수 있다. 이에 따라, 잔류하는 비노광부(223)에 의해 포토레지스트 패턴(227)이 정의될 수 있다. 일부 실시예들에 있어서, 포토레지스트 패턴(227) 형성 후, 예를 들면 핫 플레이트 상에서 하드-베이킹 공정을 더 수행할 수 있다.
도 20을 참조하면, 포토레지스트 패턴(227)을 이용하여, 반사 방지막(210)을 부분적으로 식각함으로써 반사 방지막 패턴(215)을 형성할 수 있다. 이에 따라, 반사 방지막 패턴(215) 및 포토레지스트 패턴(227)에 의해 마스크 패턴이 정의될 수 있으며, 상기 마스크 패턴은 제1 식각 대상막(205) 상면을 노출시키는 복수의 홀들을 포함할 수 있다. 이와는 달리, 인접하는 상기 마스크 패턴들 사이에서, 라인 형상으로 연장하며 제1 식각 대상막(205) 상면을 노출시키는 개구부가 형성될 수도 있다.
이후, 상기 마스크 패턴을 활용하여 제1 식각 대상막(205)을 부분적으로 식각할 수 있다. 이에 따라, 복수의 콘택 홀들 또는 라인 형상의 트렌치들을 포함하는 제1 식각 대상막 패턴(207)이 형성될 수 있다. 일부 실시예들에 있어서, 상기 콘택 홀 또는 트렌치에 의해 기판(200) 상면이 노출될 수 있다.
도 21을 참조하면, 포토레지스트 패턴(227) 및 반사 방지막 패턴(215)을 예를 들면, 애싱 및/또는 스트립 공정을 통해 제거할 수 있다.
도 22를 참조하면, 제1 식각 대상막 패턴(207)을 이온 주입 마스크로 사용하여 기판(200) 상부에 n형 또는 p형 불순물을 주입할 수 있다. 이에 따라, 기판(200)의 상기 상부에 불순물 영역들(203)을 형성할 수 있다.
제1 식각 대상막 패턴(207)이 상기 복수의 콘택 홀들을 포함하는 경우, 불순물 영역들(203)은 기판(200)의 상기 상부에 형성된 섬(island) 형상을 가질 수 있다. 제1 식각 대상막 패턴(207)이 상기 복수의 트렌치들을 포함하는 경우, 불순물 영역(203)은 선형으로 연장되는 라인 형상을 가질 수 있다.
도 23을 참조하면, 제1 식각 대상막 패턴(207)의 상기 콘택 홀들 또는 상기 트렌치들 내부에 제1 도전 패턴(230)을 형성할 수 있다.
예시적인 실시예들에 따르면, 구리, 텅스텐, 알루미늄 등과 같은 금속을 사용하여 상기 콘택 홀들 또는 상기 트렌치들을 충분히 채우는 제1 도전막을 제1 식각 대상막 패턴(207) 상에 형성할 수 있다. 이후, 상기 제1 도전막의 상부를 제1 식각 대상막 패턴(207)의 상면이 노출될 때까지 예를 들면, 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정을 통해 평탄화하여 제1 도전 패턴(230)을 형성할 수 있다.
제1 도전 패턴(230)은 필라 형태의 콘택 또는 배선 형상을 가질 수 있다.
도 24를 참조하면, 제1 식각 대상막 패턴(207) 및 제1 도전 패턴(230) 상에 식각 저지막(240) 및 제2 식각 대상막(250)을 형성할 수 있다.
식각 저지막(240)은 예를 들면, 실리콘 질화물 또는 실리콘 산질화물을 포함하도록 형성될 수 있다. 제2 식각 대상막(250)은 제1 식각 대상막(205)과 실질적으로 동일하거나 유사한 실리콘 산화물 계열 물질을 포함하도록 형성될 수 있다.
식각 저지막(240) 및 제2 식각 대상막(250)은 예를 들면, CVD 공정, PECVD 공정 또는 ALD 공정을 통해 형성될 수 있다.
제2 식각 대상막(250) 상에는 상부 반사 방지막(260) 및 상부 포토레지스트 막(270)을 형성할 수 있다. 상부 반사 방지막(260) 및 상부 포토레지스트 막(270)은 각각 상술한 BARC 조성물 및 포토레지스트 조성물을 사용하여 스핀 코팅 공정 및 예를 들면, 핫 플레이트를 활용한 소프트-베이킹 공정을 통해 형성될 수 있다.
도 25를 참조하면, 도 17 및 도 18을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 노광 공정을 통해 상부 포토레지스트 막(270)을 노광부(275) 및 비노광부(273)로 구분할 수 있다. 예를 들면, 상기 노광 공정은 도 1 내지 도 7을 참조로 설명한 공정에 의해 제작된 노광 마스크를 사용하여 수행될 수 있다.
이후, 복수의 레이저 샷들을 연속적으로 순차적으로 조사하는 멀티-샷 레이저 공정을 통해 PEB 공정을 수행할 수 있다. 이에 따라, 상부 포토레지스트 막(270)의 노광부(275)가 활성화될 수 있다.
도 26을 참조하면, 예를 들면 현상 공정을 통해 노광부(275)를 선택적으로 제거할 수 있다. 이에 따라, 잔류하는 비노광부(273)에 의해 상부 포토레지스트 패턴(277)이 정의될 수 있다. 일부 실시예들에 있어서, 포토레지스트 패턴(277) 형성 후, 예를 들면 핫 플레이트 상에서 하드-베이킹 공정을 더 수행할 수 있다.
상부 포토레지스트 패턴(277)을 이용하여, 상부 반사방지막(260)을 부분적으로 식각할 수 있다. 이에 따라, 상부 반사 방지막 패턴(265) 및 상부 포토레지스트 패턴(277)을 포함하는 상부 마스크 패턴이 정의될 수 있다.
이후, 상기 상부 마스크 패턴을 이용하여 제2 식각 대상막(250) 및 식각 저지막(240)을 부분적으로 식각함으로써 개구부(280)를 형성할 수 있다.
일부 실시예들에 있어서, 개구부(280)를 통해 복수의 제1 도전 패턴들(230)의 상면들이 노출될 수 있다. 일부 실시예들에 있어서, 개구부(280)는 각각의 제1 도전 패턴(230)을 노출시키는 콘택 홀 형상을 가질 수도 있다.
개구부(280) 형성 후, 상부 포토레지스트 패턴(277) 및 상부 반사 방지막 패턴(265)은 애싱 공정 및/또는 스트립 공정을 통해 제거될 수 있다.
도 27을 참조하면, 개구부(280)를 채우는 제2 도전 패턴(290)을 형성할 수 있다.
예시적인 실시예들에 따르면, 복수의 개구부들(280)을 채우는 제2 도전막을 제2 식각 대상막(250) 상에 형성할 수 있다. 상기 제2 도전막의 상부를 제2 식각 대상막(250) 상면이 노출될 때까지 예를 들면, CMP 공정을 통해 평탄화하여 제2 도전 패턴들(290)을 형성할 수 있다. 상기 제2 도전막은 구리, 알루미늄, 텅스텐과 같은 금속을 사용하여 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다.
일부 실시예들에 있어서, 상기 제2 도전막 형성 전에, 개구부(280) 내벽 상에 컨포멀하게 배리어 도전막을 형성할 수도 있다. 상기 배리어 도전막은 티타늄 질화물 또는 탄탈륨 질화물과 같은 금속 질화물을 포함하도록 형성될 수 있다.
일부 실시예들에 있어서, 상기 제2 도전막은 도금 공정을 통해 형성될 수도 있다. 예를 들면, 구리 타겟을 사용하는 스퍼터링 공정을 통해 상기 배리어 도전막 상에 컨포멀한 형상의 씨드(seed) 막을 형성할 수 있다. 이후, 황산구리와 같은 도금액을 전해질로 사용하고, 상기 씨드막을 음극(cathode), 상기 도금액을 양극(anode)으로 사용하여 전류를 인가할 수 있다. 이에 따라, 전기화학 반응에 의해 상기 씨드막 상에는 구리를 포함하는 상기 제2 도전막이 석출 또는 성장될 수 있다.
제2 도전 패턴(290)은 각 제1 도전 패턴(230)과 전기적으로 연결되는 플러그로 제공될 수 있다. 제2 도전 패턴(290)은 복수의 제1 도전 패턴들(230)과 전기적으로 연결되는 상부 배선으로 제공될 수 있다.
일부 실시예들에 있어서, 알루미늄 또는 몰리브덴과 같은 금속을 사용하여 제2 도전 패턴(290) 상면을 커버하는 캡핑막을 더 형성할 수도 있다.
도 28 내지 도 42는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
구체적으로, 도 28, 도 34 및 도 38은 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이다. 도 29 내지 도 33, 도 35 내지 도 37, 및 도 39 내지 도 42는 상기 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다. 도 29 내지 도 33, 도 35 내지 도 37, 및 도 39 내지 도 42는 각각 도 28, 도 34 및 도 38에 표시된 I-I'라인 및 II-II'라인을 따라 절단한 서브 단면도들을 포함하고 있다.
예를 들면, 도 28 내지 도 42는 매립 셀 어레이 트랜지스터(buried cell array transistor: BCAT) 구조를 포함하는 반도체 장치의 제조 방법을 도시하고 있다. 한편, 도 1 내지 도 7, 도 10, 도 11 내지 도 15, 또는 도 16 내지 도 27을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략한다.
도 28 내지 도 42에서 기판 상면에 평행하며, 서로 실질적으로 수직하게 교차하는 두 방향을 각각 제1 방향 및 제2 방향으로 정의한다
도 28 및 도 29를 참조하면, 기판(300) 상부에 소자 분리막(302)을 형성하여 액티브 패턴들(305)을 정의할 수 있다.
기판(300)은 예를 들면, 실리콘, 게르마늄, 실리콘-게르마늄, 또는 GaP, GaAs, GaSb 등과 같은 III-V족 화합물을 포함할 수 있다. 일부 실시예에 따르면, 기판(300)은 SOI 기판, 또는 GOI 기판일 수 있다.
예시적인 실시예들에 따르면, 소자 분리막(302) 및 액티브 패턴(305)은 얕은 트렌치 소자 분리(Shallow trench Isolation: STI) 공정을 통해 형성될 수 있다. 예를 들면, 이방성 식각 공정을 통해 기판(300) 상부를 제거하여 소자 분리 트렌치를 형성할 수 있다. 이후, 상기 소자 분리 트렌치를 채우며 예를 들면, 실리콘 산화물을 포함하는 절연막을 기판(300) 상에 형성할 수 있다. 이어서 상기 절연막 상부를 액티브 패턴(305)의 상면이 노출될 때까지 예를 들면, CMP 공정을 통해 평탄화하여 소자 분리막(302)을 형성할 수 있다.
소자 분리막(302)이 형성됨에 따라, 소자 분리막(302)에 의해 한정되어 서로 이격된 복수의 액티브 패턴들(305)이 형성될 수 있다. 도 28에 도시된 바와 같이, 각 액티브 패턴(305)은 상기 제1 방향 또는 상기 제2 방향에 소정의 각도로 경사진 사선 방향으로 연장될 수 있다. 또한, 복수의 액티브 패턴들(305)이 상기 제1 및 제2 방향을 따라 배열될 수 있다.
도 30을 참조하면, 소자 분리막(302) 및 액티브 패턴들(305) 상에 순차적으로 식각 저지막(310), 마스크막(312) 및 포토레지스트 막을 형성할 수 있다.
식각 저지막(310)은 실리콘 산질화물 혹은 실리콘 질화물을 포함하도록 형성될 수 있다. 식각 저지막(310)은 반사 방지막으로 기능할 수도 있다. 마스크막(312)은 감광성 유기 물질, 또는 실리콘 혹은 탄소계열의 스핀-온 하드마스크(Spin-On Hardmask: SOH) 물질을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 식각 저지막(310) 및 마스크막(312) 중 적어도 하나는 생략될 수도 있다.
상기 포토레지스트 막은 도 1 및 도 11을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정을 통해 형성될 수 있다.
상기 포토레지스트 막에 대해 예를 들면, 도 12 또는 도 17을 참조로 설명한 바와 실질적으로 동일하거나 유사한 노광 공정을 수행할 수 있다. 이에 따라, 상기 포토레지스트 막은 노광부(315) 및 비노광부(313)로 구분될 수 있다.
일부 실시예들에 있어서, 상기 노광 공정을 위해 도 1 내지 도 7을 참조로 설명한 공정에 의해 제작된 노광 마스크가 활용될 수 있다.
도 31을 참조하면, 도 3, 도 10, 도 13 또는 도 18을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행할 수 있다.
이에 따라, 이에 따라, 복수의 레이저 샷들을 연속적으로 순차적으로 조사하는 멀티-샷 레이저 공정을 통해 PEB 공정을 수행할 수 있다. 상기 PEB 공정에 의해 상기 포토레지스트 막의 손상을 억제하면서, 노광부(315)에 포함된 산이 확산되어 활성화될 수 있다.
도 32를 참조하면, 예를 들면 현상 공정을 통해 노광부(315)를 선택적으로 제거할 수 있다. 이에 따라, 마스크막(312) 상에는 비노광부(313)가 잔류하여 포토레지스트 패턴(317)이 형성될 수 있다.
포토레지스트 패턴(317)을 식각 마스크로 사용하여 마스크막(312) 및 식각 저지막(310)을 순차적으로 식각할 수 있다, 이에 따라, 액티브 패턴(305)의 상면을 노출시키는 개구부(319)가 형성될 수 있다.
예시적인 실시예들에 따르면, 개구부(319)는 상기 제1 방향으로 연장하며, 액티브 패턴들(305) 및 소자 분리막(302)의 상면들을 노출시킬 수 있다. 또한, 복수의 개구부들(319)이 상기 제2 방향을 따라 형성될 수 있다. 일부 실시예들에 있어서, 하나의 액티브 패턴(305) 위로 2개의 개구부들(319)이 연장될 수 있다.
도 33을 참조하면, 개구부(319)를 통해 노출된 액티브 패턴들(305) 및 소자 분리막(302)의 상부를 식각하여 게이트 트렌치들(309)을 형성할 수 있다.
게이트 트렌치들(309)은 개구부들(319)의 배열과 실질적으로 상응하는 배열을 갖도록 형성될 수 있다. 예를 들면, 게이트 트렌치(309)는 상기 제1 방향을 따라 연장될 수 있다. 또한, 복수의 게이트 트렌치들(309)이 상기 제2 방향을 따라 형성될 수 있다. 일부 실시예들에 있어서, 하나의 액티브 패턴(305)에 2 개의 게이트 트렌치들(309)이 형성될 수 있다.
일부 실시예들에 있어서, 개구부(319) 및 게이트 트렌치(309)는 실질적으로 인-시투(in-situ)로 수행되는 동일한 식각 공정을 통해 형성될 수 있다. 이 경우, 게이트 트렌치(309) 형성 후, 예를 들면, CMP 공정을 통해 포토레지스트 패턴(317), 마스크막(312) 및 식각 저지막(310)은 제거될 수 있다.
일부 실시예들에 있어서, 개구부(319) 및 게이트 트렌치(309)는 실질적으로 엑스-시투(ex-situ)로 수행되는 별개의 식각 공정을 통해 형성될 수 도 있다. 예를 들면, 개구부(319) 형성 후, 애싱 및/또는 스트립 공정을 통해 포토레지스트 패턴(317)을 제거할 수 있다. 이후, 추가적인 식각 공정을 통해 게이트 트렌치(309)를 형성하고, CMP 공정을 통해 마스크막(312) 및 식각 저지막(310)을 제거할 수 있다.
도 34 및 도 35를 참조하면, 게이트 트렌치(309)를 채우며 연장하는 게이트 구조물(328)을 형성할 수 있다.
예시적인 실시예들에 따르면, 예를 들면, 게이트 트렌치(309)에 의해 노출된 액티브 패턴(305)의 표면에 대해 열산화 공정을 수행하거나, 액티브 패턴(305)의 상기 표면 상에 예를 들면, CVD 공정을 통해 실리콘 산화물 또는 금속 산화물을 증착하여 게이트 절연막을 형성할 수 있다.
상기 게이트 절연막 상에 게이트 트렌치(309)의 나머지 부분을 채우는 게이트 도전막을 형성할 수 있다. 이후, CMP 공정을 통해 액티브 패턴(305)의 상면이 노출될 때까지 상기 게이트 도전막을 평탄화하고, 에치-백 공정을 통해 게이트 트렌치(309) 내부에 형성된 상기 게이트 절연막 및 상기 게이트 도전막의 일부를 제거할 수 있다. 이에 따라, 게이트 트렌치(309)의 저부를 채우는 게이트 절연막 패턴(322) 및 게이트 전극(324)을 형성할 수 있다.
상기 게이트 도전막은 예를 들면, 금속 및/또는 금속 질화물을 사용하여ALD 공정, 스퍼터링 공정 등을 통해 형성될 수 있다.
게이트 절연막 패턴(322) 및 게이트 전극(324) 상에 게이트 트렌치(309)의 나머지 부분을 채우는 마스크 막을 형성한 후, 상기 마스크 막의 상부를 액티브 패턴(305)의 상기 상면이 노출될 때까지 평탄화하여 게이트 마스크(326)을 형성할 수 있다. 상기 마스크 막은 예를 들면, 실리콘 질화물을 사용하여 CVD 공정을 통해 형성될 수 있다.
이에 따라, 게이트 트렌치(309) 내부에 순차적으로 적층된 게이트 절연막 패턴(322), 게이트 전극(324) 및 게이트 마스크(326)를 포함하는 게이트 구조물(328)이 형성될 수 있다.
상술한 게이트 트렌치(309)의 배열 형태에 따라, 게이트 구조물(328)은 상기 제1 방향으로 연장되며, 상기 제2 방향을 따라 복수로 형성될 수 있다. 게이트 구조물(328)은 액티브 패턴(305) 내에 매립된 구조를 가지며, 액티브 패턴(305)의 상부는 예를 들면, 2 개의 게이트 구조물들(328) 사이의 중앙부, 및 상기 2 개의 게이트 구조물들(328) 각각을 사이에 두고, 상기 중앙부와 대향하는 외곽부들로 구분될 수 있다.
이후, 게이트 구조물들(328)과 인접한 액티브 패턴(305)의 상기 상부에 이온 주입 공정을 수행하여 제1 불순물 영역(301) 및 제2 불순물 영역(303)을 형성할 수 있다. 예를 들면, 액티브 패턴(305)의 상기 중앙부에 제1 불순물 영역(301)이 형성되고, 액티브 패턴(305)의 상기 외곽부들에 제2 불순물 영역(303)이 형성될 수 있다.
일부 실시예들에 있어서, 도 35에 도시된 바와 같이, 소자 분리막(302) 상부를 에치-백 공정을 통해 일부 제거하여, 액티브 패턴(305)의 상기 상부를 노출시킨 후 상기 이온 주입 공정을 수행하여 불순물 영역들(301, 303)을 형성할 수도 있다.
이어서, 액티브 패턴(305) 및 소자 분리막(302)을 커버하는 캡핑막(330)을 형성하고, 캡핑막(330) 상에 제1 층간 절연막(335)을 형성할 수 있다. 예를 들면, 캡핑막(330) 및 제1 층간 절연막(335)은 각각 실리콘 질화물 및 실리콘 산화물을 사용하여 형성될 수 있다. 캡핑막(330)은 후속 식각 공정들에 있어서, 실질적으로 식각 저지막으로 기능할 수 있다.
도 36을 참조하면, 제1 층간 절연막(335) 및 캡핑막(330)을 순차적으로, 부분적으로 식각하여 제1 불순물 영역들(301)을 노출시키는 그루브(groove)(337)를 형성할 수 있다. 그루브(337)는 도 34에 표시된 상기 제2 방향을 따라 연장하며, 상기 제1 방향을 따라 복수로 형성될 수 있다.
일부 실시예들에 있어서, 그루브(337) 형성을 위한 상기 식각 공정에 의해 제1 불순물 영역(301)의 일부가 함께 제거될 수 있다. 이에 따라, 제1 및 제2 불순물 영역들(301, 303) 사이에 단차가 발생할 수 있으며, 후속 공정에서 형성되는 도전 라인 구조물(355) 및 도전 콘택(375)(도 42 참조) 사이의 브릿지 또는 단락을 방지할 수 있다.
도 37을 참조하면, 제1 층간 절연막(335) 상에 그루브(337)를 채우는 제1 도전막(340)을 형성할 수 있다. 제1 도전막(340) 상에는 배리어 도전막(345) 및 제2 도전막(347)을 형성하고, 제2 도전막(347) 상에는 마스크 패턴(350)을 형성할 수 있다.
예를 들면, 제1 도전막(340)은 도핑된 폴리실리콘을 사용하여 형성될 수 있으며, 배리어 도전막(345)은 금속 질화물 또는 금속 실리사이드 질화물을 사용하여 형성될 수 있다. 제2 도전막(347)은 금속을 사용하여 형성될 수 있다. 제1 도전막(340), 배리어 도전막(345) 및 제2 도전막(347)은 예를 들면, 스퍼터링 공정, PVD 공정, 또는 ALD 공정 등을 통해 형성될 수 있다.
마스크 패턴(350)은 예를 들면, 실리콘 질화물을 포함하며, 상기 제2 방향으로 연장되는 라인 형상을 가질 수 있다. 마스크 패턴(350)의 폭(예를 들면, 상기 제1 방향으로의 폭)은 그루브(337)의 폭보다 작을 수 있다.
일부 실시예들에 있어서, 마스크 패턴(350)은 예시적인 실시예들에 따른 멀티-샷 레이저를 활용한 PEB 공정을 포함하는 포토리쏘그래피 공정에 의해 형성될 수 있다.
예를 들면, 제2 도전막(347) 상에 마스크 막 및 포토레지스트 막을 형성하고, 상기 제2 방향으로 연장하는 라인 형상의 투과부를 포함하는 노광 마스크를 통해 상기 포토레지스트 막을 노광할 수 있다. 상기 노광 마스크는 예를 들면, 도 1 내지 도 7을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 통해 제작될 수 있다.
이후, 상기 PEB 공정을 통해 상기 노광부를 활성화시킬 수 있다. 현상 공정을 통해 상기 노광부를 제거하여 포토레지스트 패턴을 형성하고, 상기 포토레지스트 패턴을 이용하여 상기 마스크 막을 식각함으로써 상기 제2 방향으로 연장하며 상기 제1 방향을 따라 복수로 형성되는 마스크 패턴들(350)을 형성할 수 있다.
도 38 및 도 39를 참조하면, 마스크 패턴(350)을 식각 마스크로 사용하여 제2 도전막(347), 배리어 도전막(345) 및 제1 도전막(340)을 순차적으로 식각할 수 있다. 이에 따라, 제1 불순물 영역(301) 상에 순차적으로 적층되는 제1 도전막 패턴(342), 배리어 도전막 패턴(346) 및 제2 도전막 패턴(348)이 형성될 수 있다. 설명의 편의를 위해, 도 38에서 제1 층간 절연막(335) 및 캡핑막(330)의 도시는 생략되었다.
이에 따라, 제1 도전막 패턴(342), 배리어 도전막 패턴(346), 제2 도전막 패턴(348) 및 마스크 패턴(350)을 포함하며, 제1 불순물 영역(301) 상에서 상기 제2 방향을 따라 연장하는 도전라인 구조물(355)이 형성될 수 있다. 예시적인 실시예들에 따르면, 도전라인 구조물(355)는 비트 라인으로 제공될 수 있다.
일부 실시예들에 있어서, 도전라인 구조물(355)은 그루브(337) 보다 작은 폭을 가질 수 있다. 따라서, 도전라인 구조물(355)의 측벽은 그루브(337)의 측벽과 이격될 수 있다.
도 40을 참조하면, 도전라인 구조물(355)의 상기 측벽 상에 스페이서(357)를 형성할 수 있다. 예를 들면, 실리콘 질화물을 사용하여 제1 층간 절연막(335) 상에 도전라인 구조물(355)을 덮는 스페이서 막을 형성하고, 상기 스페이서 막을 이방성 식각하여 스페이서(357)를 형성할 수 있다.
이어서, 제1 층간 절연막(335) 상에 도전라인 구조물(355)을 덮는 제2 층간 절연막(360)을 형성할 수 있다. 제2 층간 절연막(360)은 그루브(337)의 나머지 부분을 채울 수 있다.
일부 실시예들에 있어서, CMP 공정을 통해 제2 층간 절연막(360)의 상부를 평탄화하여 마스크 패턴(350) 상면을 노출시킬 수 있다. 제2 층간 절연막(360)은 제1 층간 절연막(335)과 실질적으로 동일하거나 유사한 실리콘 산화물을 사용하여 형성될 수 있다.
도 41을 참조하면, 제2 층간 절연막(360), 제1 층간 절연막(335) 및 캡핑막(330)을 관통하여 제2 불순물 영역(303)을 노출시키는 콘택 홀(370)을 형성할 수 있다. 콘택 홀(370)은 도 38에 도시된 홀 형성 지점(358) 마다 대응하도록 형성될 수 있다.
일부 실시예들에 있어서, 콘택 홀(370) 형성은 제2 층간 절연막(360)을 식각 대상막으로 사용하며, 예시적인 실시예들에 따른 멀티-샷 레이저 PEB 공정을 포함하는 포토리쏘그래피 공정을 통해 형성될 수 있다.
도 42를 참조하면, 콘택 홀(370)을 채우며, 제2 불순물 영역(303)과 접촉하거나 전기적으로 연결되는 도전 콘택(375)을 형성할 수 있다. 도전 콘택(375) 상에는 예를 들면, 커패시터(390)를 형성할 수 있다. 이 경우, 도전 콘택(375)은 커패시터 콘택으로 기능할 수 있다.
예를 들면, 콘택 홀들(370) 채우는 도전막을 형성한 후, 상기 도전막의 상부를 예를 들면, CMP 공정을 통해 마스크 패턴(350)의 상면이 노출될 때까지 평탄화할 수 있다. 이에 따라, 각 콘택 홀(370) 내부에 제2 불순물 영역(303)과 접촉하는 도전 콘택(375)이 형성될 수 있다.
상기 도전막은 구리 또는 텅스텐과 같은 금속 물질을 사용하여 스퍼터링 공정, PVD 공정, ALD 공정, 또는 CVD 공정 등을 통해 형성될 수 있다. 일 실시예에 있어서, 상기 도전막은 전해 도금 혹은 무전해 도금을 통해 형성될 수도 있다. 일 실시예에 있어서, 콘택 홀(370) 내벽에 티타늄 질화물, 티타늄 등을 포함하는 배리어 도전막을 먼저 형성할 수도 있다.
이후, 도전 콘택(375)과 전기적으로 연결되는 커패시터(390)를 형성할 수 있다. 이에 따라, BCAT 구조를 갖는 디램(Dynamic Random Access Memory: DRAM) 장치가 제조될 수 있다.
예를 들면, 마스크 패턴(350), 제2 층간 절연막(360) 및 도전 콘택(375) 상에 식각 저지막(도시되지 않음) 및 몰드막(도시되지 않음)을 형성하고, 상기 몰드막 및 상기 식각 저지막의 일부를 제거하여 도전 콘택(375)의 상면을 노출시키는 커패시터 개구부(도시되지 않음)를 형성할 수 있다.
상기 커패시터 개구부의 내벽 및 상기 몰드막의 상면을 따라 하부 전극막을 형성할 수 있다. 상기 하부 전극막 상에 희생막(도시되지 않음)을 형성한 후, 상기 몰드막의 상면이 노출되도록 상기 희생막 및 하부 전극막의 상부를 평탄화할 수 있다. 이후, 상기 희생막 및 상기 몰드막을 제거함으로써, 하부 전극(380)을 형성할 수 있다.
상기 식각 저지막 및 하부 전극(380)의 표면을 따라 유전막(385)을 형성하고, 유전막(385) 상에 상부 전극(387)을 형성하여 커패시터(390)를 형성할 수 있다. 유전막(385)은 실리콘 산화물 또는 고유전율의 금속 산화물을 사용하여 형성될 수 있다. 하부 전극(380) 및 상부 전극(387)은 텅스텐, 텅스텐 질화물, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐 질화물, 또는 루테늄 등과 같은 금속 혹은 금속 질화물을 사용하여 형성될 수 있다.
일부 실시예들에 있어서, 도전 콘택(375) 상에 자기 터널 접합(Magenetic Tunnel Junction: MTJ) 구조체가 형성될 수도 있다. 이 경우, 상기 반도체 장치는 BCAT 구조를 갖는 자성 메모리(Magentic Random Access Memory: MRAM) 장치로서 제공될 수 있다.
예를 들면, 마스크 패턴(350), 제2 층간 절연막(360) 및 도전 콘택(375) 상에 고정층(fixed layer)과 자유층(free layer), 및 이들 사이에 개재된 터널 배리어막을 형성할 수 있다. 상기 고정층 및 자유층은 자성 물질을 포함하도록 형성될 수 있다. 상기 터널 배리어막은 산화마그네슘, 산화티타늄, 산화알루미늄, 산화마그네슘아연, 산화마그네슘붕소 등과 같은 물질을 포함하도록 형성될 수 있다.
이후, 상기 자유층, 터널 배리어막 및 고정층들을 식각하여 각 도전 콘택(375) 상에 상기 MTJ 구조체를 형성할 수 있다. 일부 실시예들에 있어서, 상기 자유층, 터널 배리어막 및 고정층에 대한 상기 식각 공정은 예시적인 실시예들에 따른 멀티-샷 레이저 PEB 공정을 포함하는 포토리쏘그래피 공정을 포함할 수 있다.
이하에서는 구체적인 실험예를 참조로 예시적인 실시예들에 따른 PEB 공정의 특성에 대해 보다 상세히 설명한다.
실험예: PEB 공정 후 포토레지스트 제거 특성 평가
포지티브 형 포토레지스트 물질을 사용하여 실리콘 웨이퍼 상에 포토레지스트 막을 형성하였다. 상기 포토레지스트 막을 노광한 후, PEB 공정을 수행하고, 이어서 TMAH 용액을 사용한 현상 공정 수행 후 잔류하는 상기 포토레지스트 막의 두께를 측정하였다.
도 43은 비교예들에 따른 노광 후 베이킹 공정 및 현상 공정 후, 포토레지스트 막 두께 변화를 나타내는 그래프이다.
비교예 1 내지 비교에 3에서 상기 PEB 공정 시, 레이저 광원의 파워를 변화시키면서 싱글 레이저 샷을 조사하였다. 그래프의 x축은 노광 에너지의 변화를 나타내며, y축은 초기 포토레지스트 막의 두께 대비 현상 공정 후 잔류하는 포토레지스트 막의 상대적인 두께를 나타낸다.
도 43을 참조하면, 비교예 1 내지 비교예 3의 그래프들에 도시된 바와 같이 싱글 레이저 샷에 의한 PEB 공정 후에 현상 공정을 수행하는 경우, 포토레지스트 막이 제거되는 양이 노광 에너지 변화에 따라 넓게 분포되는 것을 알 수 있다.
도 44는 실시예들에 따른 노광 후 베이킹 공정 및 현상 공정 후, 포토레지스트 막 두께 변화를 나타내는 그래프이다.
실시예 1 내지 실시예 3에서, 각각 2회, 10회 및 15회의 멀티-레이저 샷을 이용해 PEB 공정을 수행한 후, 현상 공정을 통해 포토레지스트 막을 제거하였다.
도 44에 도시된 바와 같이, 실시예들의 경우 약 20uC/cm2의 노광 에너지에서 상기 포토레지스트 막들이 실질적으로 완전히 제거됨을 알 수 있다. 이에 따라, 복수의 레이저 샷들에 의해 PEB 공정이 수행되는 경우, 노광된 상기 포토레지스트 막들이 균일하게 활성화되며, 노광에너지의 변화에 따라 편차 없이 현상 공정이 수행되었음을 알 수 있다.
전술한 예시적인 실시예들에 따른 PEB 공정을 활용하여, 예를 들면 포토마스크의 차광막 패턴, 반도체 장치에 포함되는 다양한 미세 패턴들을 형성하기 위한 고해상도, 고신뢰성의 포토리쏘그래피 공정을 구현할 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100: 투명 기판 110: 차광막
115: 차광막 패턴 120, 210, 310: 반사 방지막
125, 215: 반사 방지막 패턴 130: 마스크 레지스트 막
133, 223, 273, 313: 비노광부 135, 225, 275, 315: 노광부
137: 마스크 레지스트 패턴 140: 보호막
200, 300: 기판 203: 불순물 영역
205: 제1 식각 대상막 207: 제1 식각 대상막 패턴
220: 포토 레지스트막 227, 317: 포토레지스트 패턴
230: 제1 도전 패턴 240, 310: 식각 저지막
250: 제2 식각 대상막 260: 상부 반사방지막
265: 상부 반사방지막 패턴 270: 상부 포토레지스트 막
277: 상부 포토레지스트 패턴 280, 319: 개구부
290: 제2 도전 패턴 301: 제1 불순물 영역
302: 소자 분리막 303: 제2 불순물 영역
305: 액티브 패턴 309: 게이트 트렌치
312: 마스크막 322: 게이트 절연막 패턴
324: 게이트 전극 326: 게이트 마스크
328: 게이트 구조물 330: 캡핑막
335: 제1 층간 절연막 337: 그루브
340: 제1 도전막 342: 제1 도전막 패턴
345: 배리어 도전막 346: 배리어 도전막 패턴
347: 제2 도전막 348: 제2 도전막 패턴
350: 마스크 패턴 355: 도전라인 구조물
357: 스페이서 358: 홀 형성 지점
360: 제2 층간 절연막 370: 콘택 홀
375: 도전 콘택 380: 하부 전극
385: 유전막 387: 상부 전극
390: 커패시터

Claims (23)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 기판 상에 포토레지스트 막을 형성하고;
    노광 공정을 수행하여, 상기 포토레지스트 막을 노광함으로써 노광부 및 비노광부를 형성하고;
    노광 후 베이킹(PEB) 공정을 수행하여, 상기 노광된 포토레지스트 막을 복수의 제1 레이저 샷들을 이용해 베이킹하고; 그리고
    상기 노광 후 베이킹(PEB) 공정을 수행한 후, 상기 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하는 것을 포함하는 포토레지스트 패턴 형성 방법.
  7. 제6항에 있어서, 상기 포토레지스트 막을 노광하는 것은 상기 포토레지스트 막 상부에 노광 마스크를 배치하는 것을 포함하며,
    상기 노광 마스크는,
    투명 기판 상에 차광막을 형성하고;
    상기 차광막 상에 마스크 레지스트막을 형성하고;
    상기 마스크 레지스트막을 노광하여 노광부 및 비노광부를 형성하고;
    노광된 상기 마스크 레지스트막을 복수의 제2 레이저 샷들을 이용해 베이킹하고;
    상기 마스크 레지스트막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 마스크 레지스트 패턴을 형성하고; 그리고
    상기 마스크 레지스트 패턴을 이용하여 상기 차광막을 식각함으로써 복수의 차광막 패턴을 형성하는 것에 의해 제조되는 포토레지스트 패턴 형성 방법.
  8. 제7항에 있어서, 상기 포토레지스트 막을 노광하기 전에 상기 포토레지스트 막을 소프트 베이킹 처리하는 것을 더 포함하는 포토레지스트 패턴 형성 방법.
  9. 제8항에 있어서, 상기 마스크 레지스트막의 노광부 또는 비노광부를 선택적으로 제거하는 것은 현상 공정에 의해 수행되며,
    상기 현상 공정 후 하드 베이킹 처리하는 것을 더 포함하는 포토레지스트 패턴 형성 방법.
  10. 제9항에 있어서, 상기 소프트 베이킹 처리 및 상기 하드 베이킹 처리는 핫 플레이트(hot plate)를 이용한 열 처리를 포함하는 포토레지스트 패턴 형성 방법,
  11. 제6항에 있어서, 상기 복수의 제1 레이저 샷들은 소정의 시간 간격으로 순차적으로 발생되며,
    상기 복수의 제1 레이저 샷들을 이용해 베이킹하는 것은 상기 제1 레이저 샷들의 횟수 및 상기 제1 레이저 샷들의 각 펄스 길이를 조절하여 유효 베이킹 시간을 조절하는 것을 포함하는 포토레지스트 패턴 형성 방법.
  12. 제11항에 있어서, 상기 복수의 제1 레이저 샷들을 이용해 베이킹하는 것은 인접하는 상기 제1 레이저 샷들 사이의 상기 시간 간격을 소정의 길이 범위 내로 조절하는 것을 더 포함하는 포토레지스트 패턴 형성 방법.
  13. 제6항에 있어서, 상기 기판 상에 상기 포토레지스트 막을 형성하는 것은,
    고분자 주쇄 및 상기 고분자 주쇄에 결합된 보호기들을 포함하는 포토레지스트 물질, 광산 발생제 및 용매를 포함하는 포토레지스트 조성물을 제조하고; 그리고
    상기 기판 상에 상기 포토레지스트 조성물을 도포하는 것을 포함하는 포토레지스트 패턴 형성 방법.
  14. 제13항에 있어서, 상기 복수의 제1 레이저 샷들을 이용해 베이킹하는 것에 의해 상기 광산 발생제로부터 산이 확산되어 상기 보호기가 상기 고분자 주쇄로부터 이탈되는 포토레지스트 패턴 형성 방법.
  15. 제14항에 있어서, 상기 복수의 제1 레이저 샷들을 이용해 베이킹하는 것은 상기 산에 의해 상기 보호기 분리를 위한 제1 온도 내지 상기 고분자 주쇄가 손상되는 제2 온도 사이의 온도로 상기 제1 레이저 샷들 각각의 피크(peak) 온도를 조절하는 것을 포함하는 포토레지스트 패턴 형성 방법.
  16. 제6항에 있어서, 상기 제1 레이저 샷들의 발생을 위한 광원을 상기 포토레지스트 막이 형성된 상기 기판의 상부에 배치하는 것을 더 포함하는 포토레지스트 패턴 형성 방법.
  17. 반도체 기판 상에 제1 식각 대상막 및 제1 포토레지스트 막을 순차적으로 형성하고;
    노광 공정을 수행하여, 상기 제1 포토레지스트 막을 노광함으로써 노광부 및 비노광부를 형성하고;
    노광 후 베이킹(PEB) 공정을 수행하여, 상기 노광된 제1 포토레지스트 막을 복수의 제1 레이저 샷들을 이용해 베이킹하고;
    노광 후 베이킹(PEB) 공정을 수행한 후, 상기 제1 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제1 포토레지스트 패턴을 형성하고; 그리고
    상기 제1 포토레지스트 패턴을 이용해 상기 식각 대상막을 식각하여 제1 식각 대상막 패턴을 형성하는 것을 포함하는 반도체 장치의 제조 방법.
  18. 제17항에 있어서, 상기 제1 식각 대상막은 절연 물질을 포함하며, 상기 제1 식각 대상막 패턴은 제1 개구부를 포함하는 반도체 장치의 제조 방법.
  19. 제18항에 있어서, 상기 제1 개구부를 채우는 제1 도전 패턴을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  20. 삭제
  21. 제19항에 있어서,
    상기 식각 대상막 패턴 및 상기 제1 도전 패턴을 커버하는 제2 식각 대상막을 형성하고;
    상기 제2 식각 대상막 상에 제2 포토레지스트 막을 형성하고;
    상기 제2 포토레지스트 막을 노광하여 노광부 및 비노광부를 형성하고;
    노광된 상기 제2 포토레지스트 막을 복수의 제2 레이저 샷들을 이용해 베이킹하고;
    상기 제2 포토레지스트 막의 상기 노광부 또는 상기 비노광부를 선택적으로 제거하여 제2 포토레지스트 패턴을 형성하고; 그리고
    상기 제2 포토레지스트 패턴을 이용해 상기 제2 식각 대상막을 식각하여 제2 식각 대상막 패턴을 형성하는 것을 더 포함하는 반도체 장치의 제조 방법.
  22. 삭제
  23. 삭제
KR1020150003553A 2015-01-09 2015-01-09 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법 KR102447144B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150003553A KR102447144B1 (ko) 2015-01-09 2015-01-09 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
US14/983,851 US9798241B2 (en) 2015-01-09 2015-12-30 Methods of manufacturing photomasks, methods of forming photoresist patterns and methods of manufacturing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150003553A KR102447144B1 (ko) 2015-01-09 2015-01-09 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20160086163A KR20160086163A (ko) 2016-07-19
KR102447144B1 true KR102447144B1 (ko) 2022-09-26

Family

ID=56367499

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150003553A KR102447144B1 (ko) 2015-01-09 2015-01-09 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법

Country Status (2)

Country Link
US (1) US9798241B2 (ko)
KR (1) KR102447144B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7465185B2 (ja) * 2020-09-16 2024-04-10 キオクシア株式会社 原版の製造方法、および露光方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05267162A (ja) 1992-03-17 1993-10-15 Nec Corp 微細パターンの形成方法
KR19990066582A (ko) 1998-01-31 1999-08-16 윤종용 Peb 시간 조절에 의한 미세패턴 형성방법
US6100012A (en) 1998-07-06 2000-08-08 National Semiconductor Corporation Infra-red radiation post-exposure bake process for chemically amplified resist lithography
KR20000045928A (ko) 1998-12-30 2000-07-25 김영환 베이크장치
JP2000260687A (ja) 1999-03-08 2000-09-22 Tokyo Electron Ltd 加熱処理方法及び加熱処理装置
US6730984B1 (en) 2000-11-14 2004-05-04 International Business Machines Corporation Increasing an electrical resistance of a resistor by oxidation or nitridization
US20040097103A1 (en) 2001-11-12 2004-05-20 Yutaka Imai Laser annealing device and thin-film transistor manufacturing method
TWI265550B (en) * 2002-05-14 2006-11-01 Toshiba Corp Fabrication method, manufacturing method for semiconductor device, and fabrication device
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4239082B2 (ja) 2003-09-26 2009-03-18 日本電信電話株式会社 周期配列構造のパターン形成方法および形成装置
JP2006171472A (ja) 2004-12-16 2006-06-29 Kitano:Kk レジストパターンの形成方法
KR100635506B1 (ko) 2005-11-23 2006-10-18 삼성에스디아이 주식회사 레지스트의 패턴형성방법
KR100721959B1 (ko) 2006-05-15 2007-05-25 삼성에스디아이 주식회사 포토레지스트의 패턴형성방법
US7504198B2 (en) * 2006-05-24 2009-03-17 Advanced Micro Devices, Inc. Methods for enhancing resolution of a chemically amplified photoresist
KR100770274B1 (ko) 2007-06-13 2007-10-26 삼성에스디아이 주식회사 레지스트의 패턴형성방법
JP5714266B2 (ja) * 2009-08-25 2015-05-07 Hoya株式会社 マスクブランク、転写用マスクおよびこれらの製造方法
JP2011099956A (ja) 2009-11-05 2011-05-19 Toppan Printing Co Ltd レジストのベーク方法及びベーク装置
US8465910B2 (en) * 2010-07-06 2013-06-18 Massachusetts Institute Of Technology Hybrid lithographic method for fabricating complex multidimensional structures
US8678230B2 (en) * 2010-07-30 2014-03-25 Snapware Corporation Vessels with air-tight lid systems
JP2013101923A (ja) 2011-10-21 2013-05-23 Semiconductor Energy Lab Co Ltd 分散組成物の加熱方法、及びガラスパターンの形成方法
US9085045B2 (en) * 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
JP2014049456A (ja) 2012-08-29 2014-03-17 Toyota Motor Corp 加熱装置及び加熱方法
KR101991405B1 (ko) * 2012-09-19 2019-06-20 삼성전자주식회사 빔 형상기, 이를 구비하는 레이저 어닐링 시스템 및 이 시스템을 이용하여 반사형 포토 마스크를 제작하는 방법

Also Published As

Publication number Publication date
KR20160086163A (ko) 2016-07-19
US9798241B2 (en) 2017-10-24
US20160202611A1 (en) 2016-07-14

Similar Documents

Publication Publication Date Title
US9240329B2 (en) Method for multiplying pattern density by crossing multiple patterned layers
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
US9159579B2 (en) Lithography using multilayer spacer for reduced spacer footing
JP2009004769A (ja) スペーサマスクを用いた頻度の2倍化
US8835324B2 (en) Method for forming contact holes
US10170354B2 (en) Subtractive methods for creating dielectric isolation structures within open features
JP2009027146A (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
KR20100040218A (ko) 반도체 소자의 미세 패턴 형성 방법
US20120266810A1 (en) Planarization system for high wafer topography
KR102614850B1 (ko) 반도체 소자 제조방법
KR20170123377A (ko) 반도체 소자의 패턴 형성 방법
CN107065437A (zh) 光刻胶组合物、形成图案的方法和制造半导体器件的方法
US9268228B2 (en) Techniques for patterning a substrate
KR20110133828A (ko) 포토레지스트 패턴 형성 방법
US8409456B2 (en) Planarization method for high wafer topography
KR102325949B1 (ko) 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
US20170371247A1 (en) New Surface Treatment Method for Dielectric Anti-Reflective Coating (DARC) to Shrink Photoresist Critical Dimension (CD)
KR102447144B1 (ko) 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
TW201947326A (zh) 包含有在ram位置的主動區而具有沉積所決定之間距的半導體裝置
US11309184B2 (en) Semiconductor structure and formation method thereof
CN111370309B (zh) 半导体结构及其形成方法
KR100894102B1 (ko) 고집적화된 반도체 메모리소자의 제조방법
CN112992784B (zh) 半导体结构及其形成方法
US20220406592A1 (en) Semiconductor structure and method of forming the same
CN110571220B (zh) 半导体器件及其制造方法和掩膜板

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant