KR102614850B1 - 반도체 소자 제조방법 - Google Patents

반도체 소자 제조방법 Download PDF

Info

Publication number
KR102614850B1
KR102614850B1 KR1020160128351A KR20160128351A KR102614850B1 KR 102614850 B1 KR102614850 B1 KR 102614850B1 KR 1020160128351 A KR1020160128351 A KR 1020160128351A KR 20160128351 A KR20160128351 A KR 20160128351A KR 102614850 B1 KR102614850 B1 KR 102614850B1
Authority
KR
South Korea
Prior art keywords
layer
photoresist pattern
hard mask
organic
forming
Prior art date
Application number
KR1020160128351A
Other languages
English (en)
Other versions
KR20180037764A (ko
Inventor
정용출
이태규
박성식
박준수
윤광섭
함부현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160128351A priority Critical patent/KR102614850B1/ko
Priority to US15/490,976 priority patent/US10276373B2/en
Publication of KR20180037764A publication Critical patent/KR20180037764A/ko
Application granted granted Critical
Publication of KR102614850B1 publication Critical patent/KR102614850B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1218Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or structure of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1262Multistep manufacturing methods with a particular formation, treatment or coating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1288Multistep manufacturing methods employing particular masking sequences or specially adapted masks, e.g. half-tone mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

본 발명의 일 실시예는, 피식각층과 상기 피식각층 상에 배치된 제1 포토레지스트 패턴을 갖는 반도체 기판을 제공하는 단계와, 산소 함유 분위기에서 자외선(UV)을 조사하여 상기 피식각층으로부터 상기 제1 포토레지스트 패턴을 제거하는 단계와, 상기 피식각층 상에 제2 포토레지스트 패턴을 형성하는 단계를 포함하는 반도체 소자 제조방법을 제공한다.

Description

반도체 소자 제조방법{METHOD OF MANUFACUTURING SEMICONDUCTOR DEVICE}
본 발명은 포토레지스트 등의 리워크 공정을 이용하는 반도체 소자 제조방법에 관한 것이다.
반도체 장치 제조 공정에서, 회로 패턴 및 절연 패턴 등의 형성 및 선택적 이온 주입 및 식각을 위해 포토리소그래피(photolithography) 공정이 활용되고 있다. 예를 들면, 반도체 기판 상에 포토레지스트 막을 형성한 후, 노광 및 현상 공정을 통해 상기 포토레지스트 막으로부터 식각 마스크로 사용되는 포토레지스트 패턴이 형성될 수 있다.
하지만, 포토레지스트 도포시에 스트리에이션(striation)이 발생하거나 노광 후의 포토레지스트 패턴의 오정렬과 같이 잘못 형성될 수 있다. 이러한 경우에, 포토레지스트 패턴이 어긋난 채로 포토레지스트 패턴을 전사하면, 반도체 소자의 불량을 야기할 수 있으므로, 잘못 형성된 포토레지스트 패턴을 제거하고 다시 형성하는 리워크 공정을 수행할 수 있다. 하지만, 제거공정이 복잡하며 포토레지스트 패턴의 하부에 위치한 층들도 함께 제거하고 다시 형성해야 하므로, 리워크 공정이 번잡하며 비용이 많이 소모되는 문제가 있어 왔다.
본 발명이 해결하고자 하는 기술적 과제 중 하나는, 하부층의 손상을 최소화하면서 공정을 간소화할 수 있는 포토레지스트 리워크 방법 및 이를 이용한 반도체 소자 제조방법을 제공하는데 있다.
본 발명의 일 실시예는, 피식각층과 상기 피식각층 상에 배치된 제1 포토레지스트 패턴을 갖는 반도체 기판을 제공하는 단계와, 산소 함유 분위기에서 자외선(UV)을 조사하여 상기 피식각층으로부터 상기 제1 포토레지스트 패턴을 제거하는 단계와, 상기 피식각층 상에 제2 포토레지스트 패턴을 형성하는 단계를 포함하는 반도체 소자 제조방법을 제공한다.
본 발명의 일 실시예는, 제1 유기 하드 마스크층을 갖는 반도체 기판을 제공하는 단계와, 오존 또는 산소 래티칼을 발생시켜 상기 반도체 기판으로부터 상기 제1 유기 하드 마스크층을 제거하는 단계와, 상기 반도체 기판 상에 상기 제2 유기 하드 마스크층을 형성하는 단계를 포함하는 반도체 소자 제조방법을 제공한다.
유기물인 상부층(포토레지스트 패턴 등)을 자외선 조사에 의해 얻어지는 오존 또는 산소 래티컬로 분해시킴으로써 무기물인 하부층의 손상을 최소화하면서 상기 상부층을 선택적으로 제거할 수 있다. 따라서, 반사 방지막 및/또는 하드 마스크에 대한 추가적인 제거 공정을 생략할 수 있으므로, 포토레지스트 리워크 공정을 간소화시킬 수 있다.
도1은 본 발명의 일 실시예에 따른 반도체 소자 제조방법을 설명하는 흐름도이다.
도2a 내지 도2f는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도3a 내지 도3c는 도2a에 도시된 포토레지스트 형성과정을 설명하기 위한 주요 공정의 단면도이다.
도4a 내지 도4c는 본 발명의 일 실시예에 따른 반도체 소자 제조방법(예, 이온주입)을 설명하기 위한 주요 공정의 단면도이다.
도5a 내지 도5f는 본 발명의 일 실시예에 따른 반도체 소자 제조방법(예, 콘택 형성)을 설명하기 위한 주요 공정의 단면도이다.
도6a 내지 도6c는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도7a 내지 도7c는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도8a 내지 도8c는 본 발명의 일 실시예에 따른 하드 마스크 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도9a 내지 도9d는 본 발명의 일 실시예에 따른 반도체 소자 제조방법을 설명하기 위한 주요 공정의 단면도이다.
도10a 및 도10b은 본 발명의 일 실시예에 채용된 UV 에칭을 이용한 유기물의 선택적 제거 효과를 설명하기 위한 그래프들이다.
도11a 및 도11b는 본 발명의 일 실시예에 채용된 UV 에칭 후에 미세 패턴을 촬영한 SEM 사진들이다.
도12a 및 도12b는 본 발명의 일 실시예에 채용된 드라이 에칭 후에 미세 패턴을 촬영한 SEM 사진들이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예를 상세히 설명하기로 한다.
도1은 본 발명의 일 실시예에 따른 반도체 소자 제조방법을 설명하는 흐름도이다.
도1을 참조하면, 우선, 본 공정은 반도체 기판에 피식각층을 형성하는 공정(S10)으로 시작될 수 있다.
상기 반도체 기판은 Si 또는 Ge와 같은 반도체 기판, 화합물 반도체 기판, 또는 SOI (silicon on insulator) 기판일 수 있다. 본 명세서에서 사용되는 "피식각층"이라는 용어는, 포토레지스트 패턴의 하부에 위치하며, 상기 포토레지스트 패턴에 의해 선택적으로 식각되는 물질층을 의미한다.
일 예에서는, 상기 피식각층은 하부의 다른 층을 선택적 처리하기 위해 사용되는 하드 마스크(hardmask)를 포함할 수 있다. 다른 예에서, 상기 피식각층은 도전막, 유전막 또는 절연막과 같은 패턴 형성층(pattern forming layer)을 포함할 수 있으며, 이러한 패턴 형성층은 하드 마스크의 하부층으로 제공될 수도 있다. 이에 한정되는 것은 않으나, 상기 패턴 형성층은 예를 들어 금속층, 합금층, 금속 탄화물, 금속 질화물, 금속 산질화물, 금속 산탄화물, 반도체, 폴리실리콘, 산화물, 질화물, 산질화물 또는 이들의 조합을 포함할 수 있다.
이어, 피식각층 상에 제1 포토레지스트 패턴을 형성하고(S20), 제1 포토레지스트 패턴의 점검하여 제1 포토레지스트 패턴의 불량 여부를 판단할 수 있다(S30)
포토레지스트 막은 스핀 코팅 공정을 통해 포토레지스트 조성물을 도포하고, 소프트-베이킹(soft-baking) 공정으로 형성될 수 있다. 포토레지스트 막은 유기물 조성을 포함하며, 실리콘 성분을 함유하지 않을 수 있다. 상기 포토레지스트 막은 원하는 패턴으로 선택적으로 노광된 후에 현상되어 제1 포토레지스트 패턴으로 제공될 수 있다. 제1 포토레지스트 패턴이 정렬 상태를 확인하는 과정이 요구된다. 예를 들어, 제1 포토레지스트 패턴의 정렬 상태는 오버레이(overlay) 마크를 이용하여 계측될 수 있다. 오차를 큰 경우에 제1 포토레지스트 패턴을 제거하고 새로운 포토레지스트 패턴을 형성하는 리워크(rework) 공정을 수행할 수 있다.
이어, 산소 함유 분위기에서 자외선(UV)을 조사하여 제1 포토레지스트 패턴을 제거한다(S40).
자외선을 조사하여 산소로부터 오존(O3) 및/또는 산소 래티컬(oxygen radical)을 생성할 수 있다. 오존 및/또는 산소 래티컬이 유기물인 제1 포토레지스트 패턴과 반응하여 제1 포토레지스트 패턴을 CO2와 H2O로 분해될 수 있다. 피식각층의 표면영역, 즉 제1 포토레지스트 패턴의 하부층은 유기물이 아닌 실리콘 화합물층 또는 금속층으로 제공될 수 있다. 이 경우에 오존 및/또는 산소 래티컬에 반응하지 않으므로, 손상 없이 보호될 수 있다. 플라즈마 애싱(ashing)을 이용하여 포토레지스트 패턴을 제거하는 경우에, 포토레지스트 패턴만이 선택적으로 제거되지 않고 하부층(예, 반사 방지막)까지 손상되므로, 하부층의 리워크 공정도 함께 요구되었다. 하지만, 자외선 조사를 이용한 제거공정에서는 유기물인 포토레지스트 패턴만을 선택적인 제거할 수 있다. 이러한 선택적 제거공정에 대해서는 아래 실시예들에서 상세히 설명하기로 한다.
다음으로, 상기 피식각층 상에 제2 포토레지스트 패턴을 형성하고(S50), 제2 포토레지스트 패턴을 이용하여 상기 피식각층을 선택적으로 식각할 수도 있다(S60).
제1 포토레지스트 패턴이 제거된 피식각층의 표면에는 제2 포토레지스트 패턴을 형성한다. 본 공정은 제1 포토레지스트 패턴의 공정과 유사하게 수행될 수 있다. 제2 포토레지스트 패턴을 이용하여 상기 피식각층 식각과 같은 후속 공정을 수행할 수 있다. 예를 들어, 상기 피식각층이 하드 마스크를 포함하는 경우에, 하드 마스크에 패턴을 전사하고, 하드 마스크를 이용하여 반도체 소자를 제조하기 위한 식각, 이온주입 및/또는 증착과 같은 공정이 수행될 수 있다.
도2a 내지 도2f는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도2a를 참조하면, 하드 마스크층(12), 반사 방지막(14) 및 제1 포토레지스트 패턴(15)이 순차적으로 배치된 반도체 기판(11)이 도시되어 있다.
상기 반도체 기판(11)은 불순물이 도핑된 영역 또는 STI(shallow trench isolation) 구조와 같은 다양한 소자분리 구조를 가질 수 있다. 또한, 상기 반도체 기판(11)은 반도체 소자를 형성하기 위한 다양한 하부 구조체를 구비할 수 있다. 예를 들어, 하부 구조체는 콘택 영역, 패드, 절연막 패턴 및/또는 도전막 패턴일 수 있다.
본 실시예에 채용된 피식각층은 하드 마스크층(12)과 반사 방지막(14)을 포함할 수 있다.
상기 하드 마스크층(12)은 유기물 또는 무기물과 같은 다양한 막질로 이루어질 수 있다. 예를 들면, 상기 하드 마스크층(12)은 산화막, 질화막, SiCN 막, 폴리실리콘막, 비정질 카본층(amorphous carbon layer), 또는 SOH (spin-on hardmask) 재료와 같은 탄소 함유막으로 이루어질 수 있다. 상기 SOH 재료로 이루어지는 탄소 함유막은 그 총 중량을 기준으로 약 85wt% ∼ 약 99 wt%의 비교적 높은 탄소 함량을 가지는 유기 화합물로 이루어질 수 있다. 상기 유기 화합물은 페닐, 벤젠, 또는 나프탈렌과 같은 방향족 환을 포함하는 탄화수소 화합물 또는 그 유도체로 이루어질 수 있다. 이하, SOH와 같이, 유기물을 주된 성분을 갖는 하드 마스크를 "유기 하드 마스크"라고도 한다.
상기 반사 방지막(14)은 반도체 소자 제조를 위한 노광시 사용되는 광이 난반사되는 것을 제어할 수 있다(도2e 참조). 또는, 상기 반사 방지막(14)은 그 하부층으로부터의 반사광을 흡수하는 역할을 할 수도 있다.
상기 반사 방지막(14)은 유기물인 제1 포토레지스트 패턴(15)과는 선택적 제거 가능한 물질로 이루어질 수 있다. 상기 반사 방지막(14)은 무기 반사 방지막뿐만 아니라, 유기 화합물이 포함되더라도 상대적으로 비율이 낮은 실리콘이 함유된 반사 방지막일 수 있다. 실리콘이 함유된 반사 방지막은 유기물의 총량이 적은 비율로 한정될 수 있다. 예를 들어, 탄소의 함량은 10 wt% 이하일 수 있다. 실리콘 함량 기준으로는 약 17wt% ∼ 약 43 wt%의 실리콘을 함유될 수 있다. 상기 무기 반사 방지막은 예를 들어, 티탄, 이산화티탄, 질화티탄, 산화크롬, 카본, 실리콘 질화물, 실리콘 산질화물, 비정질 실리콘 등의 무기물로 이루어질 수 있다. 일부 실시예에서는, 유기 반사 방지막과 조합으로 제공될 수도 있다(도8a 내지 도8c 참조).
상기 제1 포토레지스트 패턴(15)은 유기 용매 내에 포토레지스트 물질을 용해시켜 제조될 수 있다. 상기 포토레지스트 조성물은 광산 발생제(photo acid generator: PAG) 또는 증감제(sensitizer)를 더 포함할 수도 있다. 상기 포토레지스트 물질은 노광된 부분의 가교 결합이 분해되거나, 백-본(back-bone) 사슬에 결합된 보호기가 이탈되는 포지티브(positive) 형 고분자 물질을 포함할 수 있다.
상기 백-본 사슬은 노볼락(novolac), 폴리스티렌(polystyrene), 폴리히드록시 스티렌(polyhydroxystyrene: PHS), 폴리아크릴레이트(polyacrylate), 폴리메타크릴레이트(polymethacrylate), 폴리비닐에스테르(polyvinylester), 폴리비닐에테르(polyvinylether), 폴리올레핀(polyolefin), 폴리노르보넨(polynorbornene), 폴리에스테르(polyester), 폴리아미드(polyamide), 폴리카보네이트(polycarbonate)와 같은 고분자 사슬을 포함할 수 있다. 상기 보호기는 예를 들면, 아세탈(acetal) 기, 에테르(ether) 기, 티오에테르(thioether) 기 등을 포함할 수 있다. 이와 달리, 상기 포토레지스트 물질은 노광된 부분에서 가교 결합이 생성되어 경화되는 네거티브(negative) 형 고분자 물질을 포함할 수도 있다.
이와 같이, 상기 제1 포토레지스트 패턴(15)은 유기 화합물을 포함하며, 앞서 설명된 하부층인 반사 방지막(14)과 충분한 선택성을 보장할 수 있다. UV 조사 후에도 잔류물이 남지 않도록 상기 제1 포토레지스트 패턴(15)은 Si과 같은 무기성분을 함유하지 않을 수 있다.
도2b 및 도2c에 도시된 바와 같이, 산소 함유 분위기에서 자외선(UV)을 조사함으로써 제1 포토레지스트 패턴(15)을 선택적으로 제거할 수 있다
산소 함유 분위기는 높은 산소 분율이 유지되도록 산소를 의도적으로 공급할 수 있으나, 대기 분위기에서 충분히 자외선 조사에 의해 원하는 오존(O3) 및/또는 산소 래티컬(oxygen radical)을 생성할 수 있다(도2b 참조). 본 공정에 사용되는 자외선은 오존을 발생시킬 수 있는 파장(예, 184.9㎚)을 가질 수 있다. 자외선 조사에 의해 생성된 오존 및/또는 산소 래티컬은 상기 제1 포토레지스트 패턴(15)과 반응하고, 그 결과 CO2와 H2O로 분해되어 상기 반사 방지막(14)으로부터 상기 제1 포토레지스트 패턴(15)이 제거될 수 있다. 본 공정은 상온에서 수행될 수 있으나, 반응속도를 고려하여 약 100℃ ∼ 약 400℃ 범위에서 수행될 수 있다.
앞서 설명한 바와 같이, 본 실시예에 채용된 반사 방지막(15)은 무기 반사 방지막 또는 실리콘이 함유된 반사 방지막일 수 있다. 이러한 조건에서 UV 조사 공정에서 상기 반사 방지막(14)은 손상되지 않고, 유기물인 제1 포토레지스트 패턴(15)만을 선택적으로 제거될 수 있다(도2c 참조).
이어, 반사 방지막(14) 상에 제2 포토레지스트 패턴(16)을 형성할 수 있다. 본 공정은 제1 포토레지스트 패턴(15)의 공정과 유사하게 수행될 수 있다. 이하에서는 상기 포토레지스트 조성은 상기 포지티브형 고분자 물질을 사용하는 예로 설명한다.
우선, 도2d에 도시된 바와 같이, 제1 포토레지스트 패턴(15)이 제거된 반사 방지막(14)의 상면에 포토레지스트 막(16')을 형성한다. 포토레지스트 막(16')은 상술한 포토레지스트 조성물이 용해된 유기 용매를 이용하여 형성되며, 스핀 코팅 공정 및 소프트-베이킹 공정을 통해 형성될 수 있다. 상기 소프트-베이킹 공정은 예를 들면, 핫 플레이트를 활용하여 수행될 수 있다. 상기 소프트-베이킹 공정은 상기 유기 용매의 종류에 따라 차이가 있을 수 있으나, 약 30℃ ∼ 100℃의 온도로 수행될 수 있다
이어, 도2e에 도시된 바와 같이, 노광 마스크(20)를 이용하여 포토레지스트 막(16')에 대한 노광 공정을 수행할 수 있다.
상기 노광 마스크(20)는 투명 기판(20a)과 차광 패턴(20b)을 포함하며, 차광 패턴(20b)에 의해 차광 영역(A)과 투광 영역(B)을 가질 수 있다. 상기 노광 마스크를 이용한 선택적 노광에 의해 포토레지스트 막(16)은 비노광 영역(16A)과 노광 영역(16B)으로 구분될 수 있다. 노광 영역(16B)을 제외한 포토 레지스트 막(16') 부분은 비노광 영역(16A)로 정의될 수 있다. 노광 영역(16B)에서는 상기 포토레지스트 조성물에 포함된 상기 광산 발생제로부터 산(H+)이 발생될 수 있다. 상기 노광 공정에 사용되는 광원은, 이에 한정되지 않으나, 예를 들어, ArF, KrF, 전자빔, I-line, 극자외선(EUV) 광원 등을 포함할 수 있다. 포토레지스트 막(16')에 대해 노광 후 베이킹(post exposure baking: PEB) 공정을 수행할 수도 있다
다음으로, 도2f에 도시된 바와 같이, 현상액을 사용하여 노광 영역(16B)을 선택적으로 제거할 수 있다. 이에 따라, 반사 방지막(14) 상에 잔류하는 비노광 영역(16A)에 의해 제2 포토레지스트 패턴(16)이 정의될 수 있다.
본 공정에서 사용되는 현상액은 예를 들면, 알코올 계열 또는 테트라메틸 암모늄 히드록사이드(tetra methyl ammonium hydroxide: TMAH)와 같은 히드록사이드 계열 용액을 포함할 수 있다. 상술한 바와 같이, 상기 노광 공정 및 PEB 공정에 의해 노광 영역(16B)은 비노광 영역(16A)에 비해 현저히 높은 극성 및/또는 친수성을 갖는 패턴으로 변환될 수 있다. 이에 따라, TMAH와 같은 극성 용액에 의해 노광 영역(16B)만이 선택적으로 제거될 수 있다.
일부 실시예들에서는, 상기 현상 공정 이후, 하드-베이킹(hard baking) 공정을 추가로 수행할 수 있다. 상기 하드-베이킹 공정에 의해 제2 포토레지스트 패턴(16)이 추가로 경화될 수 있다. 예를 들면, 상기 하드-베이킹 공정은 약 100 ℃ ∼ 약 200℃의 온도로 수행될 수 있다. 예를 들어, 상기 하드-베이킹 공정은 핫 플레이트를 사용한 열처리를 포함할 수 있다. 본 실시예와 달리, 상기 포토레지스트 물질이 네거티브 형인 경우, 상기 현상 공정에 의해 비노광 영역(16A)가 선택적으로 제거될 수 있다.
본 실시예에서는, UV를 이용하여 제1 포토 레지스트 패턴(15)만을 선택적으로 제거하고, 반사 방지막(14)을 그대로 사용하는 예로 설명하였으나, 추가적으로 반사 방지막(14) 또는 반사 방지막(14)과 하드 마스크층(15)을 스트립 및 애싱 공정을 이용하여 제거한 후에 새로운 하드 마스크층 및 반사 방지막을 형성할 수도 있다.
도3a 내지 도3c는 도2a에 도시된 구조체 형성과정을 설명하기 위한 주요 공정의 단면도이다.
도3a에 도시된 바와 같이, 반도체 기판(11) 상에 하드 마스크층(12)을 형성할 수 있다.
하드 마스크층(12)은 SOH 재료로 이루어지는 탄소 함유막, 즉 C-SOH와 같은 비교적 높은 탄소 함량을 가지는 유기 화합물로 이루어질 수 있다. 하드 마스크층(12)은 반도체 기판(11) 상에 스핀 코팅함으로써 형성할 수 있다. 하드 마스크층(12)은 반도체 기판(11) 상에 1000Å∼10000Å의 두께로 형성될 수 있다.
도3b에 도시된 바와 같이, 하드 마스크층(12) 상에 반사 방지막(14')을 코팅한다.
본 실시예에 채용된 반사 방지막(14')은 실리콘이 함유된 반사 방지막(Si-ARC)일 수 있다. 실리콘 함유 반사 방지막은 유기물인 포토레지스트 제거시에 선택성을 보장하기 위해서 탄소 함량이 제한되며, 예를 들어, 탄소의 함량은 10 wt% 이하일 수 있다. 이와 같이, 제한적이지만 유기물이 함유된 경우에는 포토레지스트 제거를 위한 UV 조사시에 다소 영향(예, 두께 감소)을 받을 수 있다.
이와 같이, 후속 공정에서 UV에 대한 영향을 최소화하기 위해서 상기 반사 방지막(14)을 도3c에 도시된 바와 같이, 산소 함유 분위기에서 자외선을 이용하여 베이킹한다.
이러한 UV 베이킹 과정에서 반사 방지막에 함유된 소량의 유기물 성분이 미리 분해되므로, 후속 공정에서 UV 에칭이 추가적으로 적용되더라도 그 영향을 최소화할 수 있다(실험예2 참조). 본 UV 베이킹은 후속 UV 에칭과 동일하거나 유사한 조건에서 수행될 수 있다. 본 공정에 사용되는 자외선은 오존을 발생시킬 수 있는 파장(예, 184.9㎚)을 가질 수 있으며, 상온에서, 또는 반응속도를 고려하여 약 100℃ ∼ 약 400℃ 범위에서 수행될 수 있다.
본 실시예에서, 피식각층으로 제공되는 하드 마스크는, 포토레지스트 패턴에 의해 패터닝되고, 패터닝된 하드 마스크를 이용하여 반도체 소자를 제조하기 위한 식각, 이온주입 및/또는 증착과 같은 공정이 수행될 수 있다. 리워크 후에 수행되는 반도체 공정의 예로서 도4a 내지 도4c(이온주입) 및 도5a 내지 도5e(선택적 증착/식각)에 도시되어 있다.
도4a 내지 도4c는 본 발명의 일 실시예에 따른 반도체 소자 제조방법(예, 이온주입)을 설명하기 위한 주요 공정의 단면도이다.
도4a를 참조하면, 리워크공정을 통해 제공된 제2 포토레지스트 패턴(16)을 이용하여, 반사 방지막(14)과 하드 마스크층(12)을 선택적으로 에칭할 수 있다. 본 공정에 의해, 하드 마스크층(12)는 반도체 기판(11)의 일부 영역을 노출하는 개구(e)를 가질 수 있다. 개구에 의해 노출된 영역은 추가적인 공정이 적용될 피가공영역을 제공될 수 있다.
도4b를 참조하면, 제2 포토레지스트 패턴(16)과 함께 하드 마스크층(12)을 이온 주입 마스크로 사용하여 이온 주입 공정을 실시할 수 있다.
반도체 기판(11)의 노출된 영역에 n형 또는 p형 불순물을 주입할 수 있으며 반도체 기판(11)의 상부에 원하는 불순물 영역(18)을 형성할 수 있다. 상기 불순물 영역(18)은 상부에서 볼 때에 섬(island) 형상 또는 라인 형상을 가질 수 있다. 이 과정에서 제2 포토레지스트 패턴(16)은 이온 주입되어 경화(harden)될 수 있다.
도4c에 도시된 바와 같이, 경화된 제2 포토레지스트 패턴(16), 반사 방지막(14) 및 하드 마스크(12)를 제거할 수 있다.
본 제거 공정은 플라즈마를 이용한 애싱 및/또는 스트립 공정을 통해 제거할 수 있다. 일부 실시예에서는, 산소 함유 분위기에서 자외선(UV)을 조사함으로써 제2 포토레지스트 패턴(15) 및 C-SOH와 같은 하드 마스크층(12)을 제거할 수 있다. 구체적으로, 리워크 과정에서 제1 포토레지스트 패턴을 제거하는 과정과 유사하게, 오존(O3) 및/또는 산소 래티컬을 생성하여 상기 제2 포토레지스트 패턴(16)과 반응시킴으로써 상기 제2 포토레지스트 패턴(16)을 CO2와 H2O으로 분해시킬 수 있다. 이 경우에, 반사 방지막(14)을 제거하기 위한 애싱 공정이 추가 적용될 수 있다.
도5a 내지 도5e는 본 발명의 일 실시예에 따른 반도체 소자 제조방법(예, 콘택 형성)을 설명하기 위한 주요 공정의 단면도이다.
도5a에 참조하면, 반도체 기판(11) 상에 복수의 제1 콘택홀(h1)을 갖는 절연층(22)이 배치된다.
본 실시예에 채용된 절연층(22)은 포토리소그래피 공정에 의해 부분적으로 식각되는 막일 수 있다. 도4b에 도시된 공정과 유사하게, 상기 반도체 기판(11) 상부에는 절연층(22)을 이온 주입 마스크를 이용하여 불순물 영역(18)을 형성될 수 있다. 본 실시예는, 도4a 내지 도4c의 공정에서, 피식각층 중 하드 마스크층(12)을 대신하여 반도체 소자의 구조물로 제공되는 절연층(22)을 사용하여 얻어진 결과물로 이해할 수 있다.
상기 절연층(22)은 실리콘 산화물 계열의 절연 물질을 포함하도록 형성될 수 있다. 예를 들어, 상기 절연층(22)은, PEOX(plasma enhanced oxide), TEOS(TetraEthyl OrthoSilicate), BTEOS(Boro TetraEthyl OrthoSilicate), PTEOS(Phosphorous TetraEthyl OrthoSilicate), BPTEOS(Boro Phospho TetraEthyl OrthoSilicate), BSG(Boro Silicate Glass), PSG(Phospho Silicate Glass), BPSG(Boro Phospho Silicate Glass) 등과 같은 실리콘 산화물 계열의 물질을 포함할 수 있다. 상기 절연층(22)은 이에 한정되지 않으나, 예를 들어, CVD 공정, PECVD 공정, ALD 공정, 또는 반도체 기판(11) 상면에 대한 열산화 공정 등을 통해 형성될 수 있다.
도5b에 도시된 바와 같이, 절연층(22)의 제1 콘택홀(h1)에 제1 도전 패턴(19)을 형성할 수 있다.
본 공정은 제1 콘택홀(h1)이 충전되도록 도전 물질층을 형성한 후에, 절연층(22)의 상면이 노출될 때까지 평탄화함으로써 제1 도전 패턴(19)을 형성할 수 있다. 평탄화 공정은 화학 기계적 연마(chemical mechanical polish: CMP) 공정일 수 있다. 예를 들어, 상기 제1 도전 패턴(19)은 구리, 텅스텐, 알루미늄 등과 같은 금속을 사용할 수 있다. 상기 제1 도전 패턴(19)은 필라(pillar) 형태의 콘택 또는 배선 형상을 가질 수 있다.
도5c에 도시된 바와 같이, 절연층(22) 및 제1 도전 패턴(19) 상에 식각 저지막(21), 식각 대상막(23)을 형성할 수 있고, 식각 대상막(23) 상에는 반사 방지막(24) 및 제1 포토레지스트 패턴(25)을 형성할 수 있다.
식각 저지막(21)은 예를 들면, 실리콘 질화물 또는 실리콘 산질화물을 포함하도록 형성될 수 있다. 식각 대상막(23)은 절연층(22)과 실질적으로 동일하거나 유사한 실리콘 산화물 계열 물질을 포함할 수 있다. 식각 저지막(21) 및 식각 대상막(23)은 예를 들면, CVD, PECVD 또는 ALD을 통해 형성될 수 있다.
반사 방지막(24) 및 제1 포토레지스트 패턴(25)은 각각 상술한 조성물을 사용할 수 있다. 반사 방지막(24) 및 제1 포토레지스트 패턴(25)은, 스핀 코팅 및 예를 들어 핫 플레이트를 활용한 소프트-베이킹을 통해 형성될 수 있다.
도5d에 도시된 바와 같이, 제1 포토레지스트 패턴(25)이 정렬 불량으로 판단될 경우, 도2a 내지 도2e에 도시된 바와 같이, UV 에칭을 이용하여 제1 포토레지스트 패턴(25)을 제거하고, 기존의 반사 방지막(24) 상에 제2 포토레지스트 패턴(26)을 형성할 수 있다.
도5e에 도시된 바와 같이, 제2 포토레지스트 패턴(26)을 이용하여, 반사 방지막(24), 식각 대상막(23) 및 식각 저지막(21)을 부분적으로 식각함으로써 제2 콘택홀(h2)를 형성할 수 있다.
일부 실시예에서, 제2 콘택홀(h2)을 통해 복수의 제1 도전 패턴(19)의 상면들이 노출될 수 있다. 제2 콘택홀(h2)을 형성한 후, 제2 포토레지스트 패턴(26) 및 반사 방지막(24)은 애싱 공정 및/또는 스트립 공정을 통해 제거될 수 있다.
도5f를 참조하면, 제2 콘택홀(h2)를 충전하는 제2 도전 패턴(29)을 형성할 수 있다.
본 실시예에서, 복수의 제2 콘택홀(h2)을 충전하는 제2 도전 물질층을 식각 대상막(23) 상에 형성하고, 상기 제2 도전 물질층을 식각 대상막(23) 상면이 노출될 때까지 평탄화함으로써 제2 도전 패턴(29)을 형성할 수 있다. 상기 제2 도전 패턴(29)은 구리, 알루미늄, 텅스텐과 같은 금속일 수 있으며, 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다.
일부 실시예에서, 상기 제2 도전 물질층을 형성 전에, 제2 콘택홀(h2) 내벽 상에 컨포멀하게 배리어막을 형성할 수도 있다. 예를 들어, 상기 배리어막은 티타늄 질화물 또는 탄탈륨 질화물과 같은 금속 질화물을 포함할 수 있다.
일부 실시예들에서, 상기 제2 도전 물질층은 도금 공정을 통해 형성될 수도 있다. 예를 들면, 구리 타겟을 사용하는 스퍼터링 공정을 통해 상기 배리어 도전막 상에 컨포멀한 형상의 씨드(seed) 막을 형성하고 씨드막 상에 도금층을 형성할 수 있다. 본 공정에서 형성된 제2 도전 패턴(29)은 각 제1 도전 패턴(19)과 전기적으로 연결되는 플러그로 제공될 수 있다. 제2 도전 패턴(29)은 복수의 제1 도전 패턴(19)과 전기적으로 연결되는 상부 배선으로 제공될 수 있다.
일부 실시예에서, 알루미늄 또는 몰리브덴과 같은 금속을 사용하여 제2 도전 패턴(29) 상면을 커버하는 캡핑막을 더 형성할 수도 있다.
본 실시예에 따른 리워크 공정은 다양한 형태로 변형되어 실시될 수 있으며, 하드 마스크층을 위한 리워크 공정(도6a 내지 도6c)으로도 사용될 수 있다.
도6a 내지 도6c는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도6a에 도시된 바와 같이, 피식각층인 제1 하드 마스크층(12)을 반도체 기판(11) 상에 형성할 수 있다.
본 실시예에 채용된 제1 하드 마스크층(12)은 탄소를 함유한 SOH(spin-on hardmask) 재료와 같은 유기 하드 마스크일 수 있다. 본 실시예와 같이, 반사 방지막을 형성하기 전에, 하드 마스크층(12)의 불량이 발생되어 하드 마스크의 리워크를 실시하게 될 수 있다.
도6b에 도시된 바와 같이, 오존 또는 산소 래티칼을 발생시켜 상기 반도체 기판(11)으로부터 제1 하드 마스크층(12)을 제거할 수 있다.
제1 하드 마스크층(12)은 유기 하드 마스크이므로 오존 또는 산소 래티컬과 반응시켜 분해시킬 수 있다. 오존 또는 산소 래티컬은 산소 함유 분위기(예, 대기)에서 자외선을 조사함으로써 형성될 수 있다. 이러한 분해 과정에서 반도체 기판(11)의 표면이나 하부 구조체에 손상을 크게 감소시킬 수 있다.
도6c에 도시된 바와 같이, 제1 하드 마스크층(12)을 제거한 후에 반도체 기판(11) 상에 제2 하드 마스크층(13)과 반사 방지막(14)을 순차적으로 형성할 수 있다.
제2 하드 마스크층(13)은 제1 하드 마스크층(12)과 동일한 마스크 물질로 형성될 수 있다. 본 실시예에 채용된 반사 방지막(14)은 그 위에 형성될 포토레지스트 패턴과는 선택적 제거 가능한 물질에 한정되지 않으며, 유기 반사 방지막 또는 무기 반사 방지막을 포함할 수 있다. 물론, 앞선 실시예에 설명된 포토레지스트 리워크 공정이 적용 가능하도록 반사 방지막(14)은 유기물인 포토레지스트 패턴과는 선택적 제거 가능한 물질일 수 있다.
도7a 내지 도7c는 본 발명의 일 실시예에 따른 포토레지스트 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도7a을 참조하면, 피식각층으로서 제1 하드 마스크층(12)을 포함하며, 앞선 실시예와 달리, 반사 방지막 없이 제1 포토레지스트 패턴(15)은 제1 하드 마스크층(12) 상에 직접 형성될 수 있다. 다소 큰 패턴을 형성할 경우에는 본 실시예와 같이, 반사 방지막을 생략할 수도 있다.
본 실시예에서, 제1 하드 마스크층(12)은 앞선 실시예와 유사하게 유기 하드 마스크일 수 있다. 상기 반도체 기판(11) 상에는 반도체 소자를 형성하기 위한 하부 구조체(17)가 제공될 수 있다. 예를 들어, 하부 구조체(17)는 콘택 영역, 패드, 절연막 패턴 및/또는 도전막 패턴일 수 있다. 하부 구조체(17)는 유기물이 함유되지 않거나 소량으로 함유된 구조물일 수 있다. 상기 제1 포토레지스트 패턴(15)의 불량이 발생될 경우에, 도7b 및 도7c에 도시된 리워크 공정을 수행할 수 있다.
도7b에 도시된 바와 같이, 오존 또는 산소 래티칼을 발생시켜 상기 반도체 기판(11)으로부터 불량인 제1 포토레지스트 패턴(15)과 함께 유기물인 제1 하드 마스크층(12)은 제거될 수 있다. 이러한 분해 과정에서 실리콘 화합물층 또는 금속층과 같은 하부 구조체(17)에 거의 손상이 발생되지 않을 수 있다.
도7c에 도시된 바와 같이, 제1 하드 마스크층(12)을 제거한 후에 반도체 기판(11) 상에 제2 하드 마스크층(13)와 제2 포토레지스트 패턴(16)을 순차적으로 형성할 수 있다. 제2 하드 마스크층(13) 및 제2 포토레지스트 패턴(16)은 각각 제1 하드 마스크층(12) 및 제1 포토레지스트 패턴(15)과 동일한 마스크 물질로 형성될 수 있다.
도8a 내지 도8c는 본 발명의 일 실시예에 따른 하드 마스크 리워크 공정을 설명하기 위한 주요 공정의 단면도이다.
도8a을 참조하면, 반도체 기판(11) 상에 하드 마스크층(12), 무기 반사 방지막(32), 유기 반사 방지막(33) 및 제1 포토레지스트 패턴(15)이 형성된다.
본 실시예에서 채용된 반사 구조는 무기 반사 방지막(32)과 제1 유기 반사 방지막(33)의 조합일 수 있다. 상기 무기 반사 방지막(32)은 티탄, 이산화티탄, 질화티탄, 산화크롬, 카본, 실리콘 질화물, 실리콘 산질화물, 비정질 실리콘 등의 무기물로 이루어질 수 있다. 상기 유기 반사 방지막(33)은 네가티브 톤 현상액에 의해 용해되지 않거나 네가티브 톤 현상액에 의한 용해도가 매우 낮은 재료로 이루어질 수 있다. 상기 유기 반사 방지막(33)은 폴리머의 총량을 기준으로 약 1∼ 40 몰%의 범위 내에서 선택되는 양으로 포함될 수 있다.
특정 예에서, 상기 무기 반사 방지막(32)은 SiON이며, 상기 유기 반사 방지막(33)은 BARC(bottom of anti-reflection coating) 조성물일 수 있다. 상기 제1 포토레지스트 패턴(15)의 불량이 발생될 경우에, 도8b 및 도8c에 도시된 리워크 공정을 수행할 수 있다.
도8b에 도시된 바와 같이, 오존 또는 산소 래티칼을 발생시켜 상기 무기 반사 방지막(34)으로부터 불량인 제1 포토레지스트 패턴(15)과 함께 제1 유기 반사 방지막(33)은 제거될 수 있다. 이러한 분해 과정에서, 상기 무기 반사 방지막(34)의 표면은 오존 또는 산소 래티컬에 의해 손상되지 않을 수 있다.
도8c에 도시된 바와 같이, 상기 무기 반사 방지막(34)을 그대로 이용하여 제2 유기 반사 방지막(35)와 제2 포토레지스트 패턴(16)을 순차적으로 형성할 수 있다. 제2 유기 반사 방지막(35) 및 제2 포토레지스트 패턴(16)은 각각 제1 유기 반사 방지막(34) 및 제1 포토레지스트 패턴(15)과 동일한 마스크 물질로 형성될 수 있다.
도9a 내지 도9d는 본 발명의 일 실시예에 따른 반도체 소자 제조방법을 설명하기 위한 주요 공정의 단면도이다.
도9a를 참조하면, 상면에 미세 패턴(FA)이 형성된 반도체 기판(11)이 도시되어 있다.
상기 반도체 기판(11)의 상면에는 미세 패턴(FA)에 따라 제1 및 제2 물질층(42,43)이 순차적으로 형성될 수 있다. 예를 들어, 상기 제1 및 제2 물질층(42,43)은 금속층 또는 금속 화합물층일 수 있다. 상기 미세 패턴(FA)은 얇은 선폭(예, 수십 ㎚이하)을 가질 수 있으며, 좁은 간격(예, 약 10㎚)으로 배열될 수 있다. 예를 들어, 상기 미세 패턴(FA)은 FinFET의 돌출된 핀 구조일 수 있다.
본 실시예에서, 상기 제2 물질층(43)은 식각 대상층일 수 있다. 제2 물질층(43)은 패터닝하기 위해서, 상기 제2 물질층(43) 상에 하드 마스크층(12)과 포토레지스트 패턴(16)이 순차적으로 형성된다. 포토레지스트 패턴(16)은 제2 물질층(43)의 식각 영역을 정의하는 제1 개구(e1)를 갖는다.
도9b를 참조하면, 포토레지스트 패턴(16)을 마스크로 이용하여 하드 마스크층(12)을 식각한다.
본 식각공정에 의해 패터닝된 하드 마스크층(12')은 상기 제2 물질층(43) 중 식각될 영역을 노출하는 제2 개구(e2)를 가질 수 있다. 본 식각 공정은 플라즈마를 이용한 식각공정일 수 있다. 본 식각공정에서, 부산물(R)이 미세 패턴(FA) 사이의 공간(g)에 잔류할 수 있다. 미세 패턴(FA)의 간격(d)이 좁을수록 이러한 부산물이 제거되지 않고 미세 패턴(FA) 사이의 공간(g)에 잔류할 가능성이 높아질 수 있다. 이러한 부산물은 하드 마스크층(12)의 구성 물질을 포함하므로, 후속 공정에서 그 공간(g)에 위치한 제2 물질층 부분이 제거되지 않는 불량을 야기할 수 있다.
도9c를 참조하면, 미세 패턴(FA) 사이의 공간(g)에 잔류한 부산물(R)이 제거되도록 산소 함유 분위기에서 자외선(UV)을 조사한다.
자외선을 조사하여 산소로부터 오존(O3) 및/또는 산소 래티컬(oxygen radical)이 생성되고, 이러한 오존 및/또는 산소 래티컬은 미세 패턴(FA)의 좁은 공간(g)에 침투되어 유기물인 부산물(R)을 효과적으로 분해시킬 수 있다. 이러한 공정 조건은 앞서 설명된 공정의 예를 참조하여 구현될 수 있다.
미세 패턴(FA) 사이에 위치한 부산물(R)을 제거한 후에, 도9d에 도시된 바와 같이, 하드 마스크층(12')을 마스크로 이용하여 제2 물질층(43)을 선택적으로 제거할 수 있다. 이 공정에서 포토레지스트 패턴(16)의 제1 개구(e1)에 대응되는 제3 개구(e3)를 갖는 제2 물질층(43)을 형성할 수 있다. 앞선 공정에서 부산물(R)이 제거되었으므로, 미세 패턴(FA) 사이의 공간(g)에 위치한 제2 물질층 부분도 쉽게 제거될 수 있다. 그 결과, 원하는 정밀한 패터닝 공정을 수행할 수 있다.
앞선 실시예들에서 채용되는 UV 에칭의 선택성을 확인하기 위해서 실험을 실시하였다.
실험예1 : 포토레지스트 막에 대한 영향
약 800Å 두께의 포토레지스트 막을 형성한 후에, 개방된 대기 분위기에서 300℃로 유지하면서, 184.9㎚의 자외선 파장을 조사하였다. 오존 또는 산소 래티컬에 의한 포토레지스트 막의 식각 두께를 경과 시간(40초, 60초, 80초)에 따라 측정하였다. 도10a에 도시된 바와 같이, 413Å, 563Å, 694Å의 두께로 식각되는 것을 확인할 수 있었다. 이와 같이, 오존 발생을 이용하여 수백 Å이상의 포토레지스트 막도 효과적으로 제거할 수 있다는 것을 알 수 있다.
실험예2 : 반사 방지막에 대한 영향
실리콘 함유 ARC 조성을 이용하여 약 320Å의 두께의 반사 방지막(A1)을 형성하였다. 자외선 베이킹 후에 두께를 측정하되 베이킹 시간을 달리하였다.
구체적으로, 반사 방지막을 대기 분위기에서 300℃로 유지하면서, 184.9㎚의 자외선 파장을 2분, 4분, 6분, 8분간 조사하였다. 자외선 베이킹 후의 반사 방지막(A2,A3,A4,A5)의 두께를 베이킹 전 두께와 함께 도10b의 그래프로 나타내었다.
도10b를 참조하면, 2분 조사할 때(A2)에는 약 3㎚ ∼ 약 4㎚의 두께가 감소되며, 4분 조사할 때(A3)에도 약 4㎚ ∼ 약 5㎚의 두께로 약 1㎚ 추가로 감소된다.하지만, 6분, 8분 조사할 경우(A4,A5)에는 2분 조사할 때(A3)와 비교하여 거의 감소되지 않는 것을 알 수 있다. 약 4 분간 자외선 베이킹을 적용하는 경우에, 추후 추가적으로 자외선을 조사하더라도 반사 방지막의 두께 변화를 거의 유발하지 않는 것을 확인할 수 있었다.
이와 같이, 포토레지스트 패턴 형성 전에 반사 방지막을 미리 UV 베이킹함으로써 포토레지스 패턴 제거를 위한 UV 에칭에 의한 반사 방지막에 영향을 최소화할 수 있다는 것을 알 수 있다.
실험예3 : 드라이 에칭과 비교
동일한 조건에서 실리콘 기판 상에 수직 패턴을 형성한 후에, C-SOH 조성을 이용하여 하드 마스크층을 약 200㎚의 두께로 형성하는 공정으로 2개의 샘플(B1,B2)을 마련하였다. 각 샘플에서 하드 마스크층을 제거하되 제1 샘플(B1)은 대기 분위기에서 300℃로 유지하면서, 184.9㎚의 자외선 파장을 조사하였으며, 제2 샘플(B2)은 N2/H2 혼합 가스 분위기에서 플라즈마를 이용한 드라이 에칭을 이용하였다. 이와 같이, 각각 다른 공정을 이용하여 하드 마스크층을 제거하고, 수직 패턴의 상태를 촬영하였다.
도11a 및 도11b는 UV 에칭 후에 수직 패턴(B1)을 촬영한 SEM 사진이며, 도12a 및 도12b는 드라이 에칭 후에 수직 패턴(B2)을 촬영한 SEM 사진이다.
도11a 및 도11b에 나타난 바와 같이, UV 에칭의 경우에는 수직 패턴이 거의 손상되지 않고, 수직인 측면이 거의 그대로 유지되는 반면에, 드라이 에칭인 경우에, 도12a 및 도12b에 나타난 바와 같이, 수직 패턴이 손상되어 수직이었던 측면이 경사진 형태로 변형된 것을 확인할 수 있었다.
이와 같이, UV 에칭은 종래의 드라이 에칭과 비교하여, 유기물층(포토레지스트 또는 하드 마스크)을, 유기물이 아닌 하부 구조체에 손상 없이 효과적으로 제거할 수 있음을 확인할 수 있었다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
11: 반도체 기판 12: 하드 마스크층
14, 24: 반사 방지막
32: 무기 반사 방지막
33,34: 유기 반사 방지막
15,25: 제1 포토레지스트 패턴
16,26: 제2 포토레지스트 패턴
17: 절연 패턴 18: 이온주입영역
21: 식각 저지막 22: 절연층
23: 식각 대상층

Claims (10)

  1. 반도체 기판 상에 하드 마스크층을 형성하는 단계;
    상기 하드 마스크층 상에 실리콘이 함유된 반사 방지막을 형성하는 단계;
    상기 실리콘이 함유된 반사 방지막에서의 유기물이 분해되도록 산소 함유 분위기에서 자외선(UV) 광을 이용하여 상기 반사 방지막을 베이킹하는 단계;
    상기 반사 방지막을 베이킹하는 단계 후에, 상기 반사 방지막 상에 제1 포토레지스트 패턴을 형성하는 단계;
    산소 함유 분위기에서 UV 광을 조사하여 상기 반사 방지막으로부터 상기 제1 포토레지스트 패턴을 제거하는 단계; 및
    상기 반사 방지막 상에 제2 포토레지스트 패턴을 형성하는 단계;를 포함하고,
    상기 제1 포토레지스트 패턴은 식각 마스크로 사용되지 않고 상기 UV 광을 조사하여 상기 제1 포토레지스트 패턴을 제거하는 반도체 소자 제조방법.
  2. 제1항에 있어서,
    상기 반사 방지막을 베이킹하는 단계 후에, 상기 반사 방지막의 탄소 함유량은 10 wt% 이하인 것을 특징으로 하는 반도체 소자 제조방법.
  3. 제1항에 있어서
    상기 하드 마스크는 유기 화합물을 포함하는 반도체 소자 제조방법.
  4. 반도체 기판 상에 제1 유기 하드 마스크층를 형성하는 단계;
    상기 제1 유기 하드 마스크층 상에 제1 포토레지스트 패턴을 형성하는 단계;
    오존 또는 산소 래티칼을 발생시켜 상기 반도체 기판으로부터 상기 제1 포토레지스트 패턴 및 상기 제1 유기 하드 마스크층을 제거하는 단계; 및
    상기 반도체 기판 상에 제2 유기 하드 마스크층을 형성하는 단계;를 포함하고,
    상기 제1 포토레지스트 패턴은 식각 마스크로 사용되지 않고 상기 오존 또는 산소 래티칼을 생성하여 상기 제1 포토레지스트 패턴을 제거하는 반도체 소자 제조방법.
  5. 제4항에 있어서,
    상기 제1 및 제2 유기 마스크층 각각은 스핀-온 하드 마스크 물질을 포함하는 반도체 소자 제조방법.
  6. 제4항에 있어서,
    상기 제2 유기 하드 마스크층을 형성하는 단계 후에, 상기 제2 유기 하드 마스크층 상에 반사 방지막을 형성하는 단계와, 상기 반사 방지막 상에 제2 포토 레지스트 패턴을 형성하는 단계를 더 포함하는 반도체 소자 제조방법.
  7. 제4항에 있어서,
    상기 제1 포토레지스트 패턴과 상기 제1 유기 하드 마스크층을 제거하는 단계는, 산소 함유 분위기에서 UV 광을 조사하는 단계를 포함하는 반도체 소자 제조방법.
  8. 반도체 기판 상에, 하드 마스크층와 반사 방지막을 포함한 피식각층을 형성하는 단계;
    산소 함유 분위기에서 자외선(UV) 광을 이용하여 상기 하드 마스크 상에서 상기 반사 방지막을 베이킹하는 단계;
    상기 피식각층 상에 제1 포토레지스트 패턴을 형성하는 단계;
    산소 함유 분위기에서 UV 광을 조사하여 상기 제1 포토레지스트 패턴, 상기 하드 마스크층 및 상기 반사 방지막을 제거하는 단계; 및
    상기 반도체 기판 상에 추가적인 하드 마스크층, 추가적인 반사 방지막 및 제2 포토레지스트 패턴을 형성하는 단계;를 포함하는 반도체 소자 제조방법.
  9. 제8항에 있어서,
    상기 베이킹하는 단계에서, 상기 반사 방지막에 함유된 유기물의 일부가 분해되는 반도체 소자 제조방법.
  10. 제8항에 있어서,
    상기 베이킹하는 단계는 100℃ ∼ 400℃의 온도에서 수행되는 반도체 소자 제조방법.
KR1020160128351A 2016-10-05 2016-10-05 반도체 소자 제조방법 KR102614850B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020160128351A KR102614850B1 (ko) 2016-10-05 2016-10-05 반도체 소자 제조방법
US15/490,976 US10276373B2 (en) 2016-10-05 2017-04-19 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160128351A KR102614850B1 (ko) 2016-10-05 2016-10-05 반도체 소자 제조방법

Publications (2)

Publication Number Publication Date
KR20180037764A KR20180037764A (ko) 2018-04-13
KR102614850B1 true KR102614850B1 (ko) 2023-12-18

Family

ID=61758348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160128351A KR102614850B1 (ko) 2016-10-05 2016-10-05 반도체 소자 제조방법

Country Status (2)

Country Link
US (1) US10276373B2 (ko)
KR (1) KR102614850B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202115509A (zh) * 2019-06-28 2021-04-16 美商蘭姆研究公司 增強含金屬光阻之微影效能的烘烤策略
KR20220118705A (ko) * 2021-02-19 2022-08-26 삼성전자주식회사 도전 라인을 포함하는 반도체 장치 및 이의 제조 방법
KR20230019539A (ko) * 2021-08-02 2023-02-09 삼성전자주식회사 패턴 형성 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000275859A (ja) 1999-03-29 2000-10-06 Nippon Paint Co Ltd 光硬化性組成物
JP2009523312A (ja) 2005-09-07 2009-06-18 トッパン、フォウタマスクス、インク デュアル・ダマシン構造を製造するためのフォトマスクおよびその形成方法
US20110079918A1 (en) 2009-10-01 2011-04-07 Applied Materials, Inc. Plasma-based organic mask removal with silicon fluoride
US20160064238A1 (en) 2014-08-27 2016-03-03 United Microelectronics Corp. Method for fabricating semiconductor device
US20160126097A1 (en) 2014-10-17 2016-05-05 International Business Machines Corporation Dielectric tone inversion materials
US20160190006A1 (en) 2014-12-26 2016-06-30 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming semiconductor device structure with feature opening

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960013145B1 (en) 1993-04-20 1996-09-30 Lg Semicon Co Ltd Removing method of photo resist
JP4038557B2 (ja) 2002-04-16 2008-01-30 リアライズ・アドバンストテクノロジ株式会社 レジスト除去装置及びレジスト除去方法
JP2005150165A (ja) 2003-11-11 2005-06-09 Sekisui Chem Co Ltd オゾン水噴射ノズル
US7772064B2 (en) * 2007-03-05 2010-08-10 United Microelectronics Corp. Method of fabricating self-aligned contact
JP2008300814A (ja) 2007-06-01 2008-12-11 Sumio Hamaya パーフエクトアツシング装置
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
JP2012004170A (ja) 2010-06-14 2012-01-05 Renesas Electronics Corp 半導体集積回路装置の製造方法
US8507380B2 (en) * 2010-06-15 2013-08-13 Micron Technology, Inc. Methods of forming contact openings and methods of increasing contact area in only one of X and Y axes in the fabrication of integrated circuitry
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
KR101827893B1 (ko) * 2012-02-22 2018-02-09 삼성전자주식회사 도전 라인 구조물 및 그 형성 방법
US9048268B2 (en) * 2013-03-05 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
KR102399752B1 (ko) * 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
TWI667708B (zh) * 2013-11-11 2019-08-01 東京威力科創股份有限公司 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
US9418865B2 (en) 2013-12-26 2016-08-16 Intermolecular, Inc. Wet etching of silicon containing antireflective coatings
US9190285B1 (en) 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
KR102323251B1 (ko) * 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
JP6456238B2 (ja) * 2015-05-14 2019-01-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9646934B2 (en) * 2015-05-26 2017-05-09 Globalfoundries Singapore Pte. Ltd. Integrated circuits with overlay marks and methods of manufacturing the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000275859A (ja) 1999-03-29 2000-10-06 Nippon Paint Co Ltd 光硬化性組成物
JP2009523312A (ja) 2005-09-07 2009-06-18 トッパン、フォウタマスクス、インク デュアル・ダマシン構造を製造するためのフォトマスクおよびその形成方法
US20110079918A1 (en) 2009-10-01 2011-04-07 Applied Materials, Inc. Plasma-based organic mask removal with silicon fluoride
US20160064238A1 (en) 2014-08-27 2016-03-03 United Microelectronics Corp. Method for fabricating semiconductor device
US20160126097A1 (en) 2014-10-17 2016-05-05 International Business Machines Corporation Dielectric tone inversion materials
US20160190006A1 (en) 2014-12-26 2016-06-30 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming semiconductor device structure with feature opening

Also Published As

Publication number Publication date
US10276373B2 (en) 2019-04-30
US20180096840A1 (en) 2018-04-05
KR20180037764A (ko) 2018-04-13

Similar Documents

Publication Publication Date Title
US7175944B2 (en) Prevention of photoresist scumming
US6740566B2 (en) Ultra-thin resist shallow trench process using high selectivity nitride etch
USRE41697E1 (en) Method of forming planarized coatings on contact hole patterns of various duty ratios
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US8835324B2 (en) Method for forming contact holes
JP3445652B2 (ja) 集積回路基板の上に位置するパターン化層を形成する方法
US8309462B1 (en) Double spacer quadruple patterning with self-connected hook-up
KR20070005912A (ko) 가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법
KR102614850B1 (ko) 반도체 소자 제조방법
TW202011455A (zh) 半導體結構的製作方法
US6867145B2 (en) Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
JPH08203876A (ja) パターン形成方法
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
US7883835B2 (en) Method for double patterning a thin film
US7642184B2 (en) Method for dual damascene process
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
KR102447144B1 (ko) 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
TWI833601B (zh) 基底的處理方法
US11887851B2 (en) Method for forming and using mask
US20090325104A1 (en) Process for manufacturing semiconductor device
US20240008266A1 (en) Method of fabricating bit line contacts
US20240004300A1 (en) Method of processing a substrate
TW202403851A (zh) 基底的處理方法
KR20010057490A (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant