JP3445652B2 - 集積回路基板の上に位置するパターン化層を形成する方法 - Google Patents

集積回路基板の上に位置するパターン化層を形成する方法

Info

Publication number
JP3445652B2
JP3445652B2 JP05110594A JP5110594A JP3445652B2 JP 3445652 B2 JP3445652 B2 JP 3445652B2 JP 05110594 A JP05110594 A JP 05110594A JP 5110594 A JP5110594 A JP 5110594A JP 3445652 B2 JP3445652 B2 JP 3445652B2
Authority
JP
Japan
Prior art keywords
layer
forming
conformal
dielectric layer
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP05110594A
Other languages
English (en)
Other versions
JPH07307263A (ja
Inventor
マーク・ジー・フェルナンデス
スタンリー・エム・フィリピアク
ジェフリー・ティー・ウェツェル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Solutions Inc
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Solutions Inc, Motorola Inc filed Critical Motorola Solutions Inc
Publication of JPH07307263A publication Critical patent/JPH07307263A/ja
Application granted granted Critical
Publication of JP3445652B2 publication Critical patent/JP3445652B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は一般に半導体技術に関
し、さらに具体的にはリソグラフィ加工に関する。
【0002】
【従来の技術】フォトリソグラフィ加工は、集積回路
(IC)の製造において重要な技術である。フォトリソ
グラフィもしくはこれに類するリソグラフィ技術(すな
わち、X線リソグラフィ,電子ビーム露光,移相(phas
e shift )技術など)は、通常、集積回路のウエハを製
造する過程の間に数回使用される。
【0003】従来のフォトリソグラフィでは、ICウエ
ハの上に有機フォトレジスト層を形成する必要がある。
このフォトレジストもしくはレジストを塗布するには通
常、予め定められた毎分回転数(RPM)でウエハが回
転している間に、ICウエハの上に有機感光性フォトレ
ジストを噴射する。RPMは、比較的平坦な形状と厚さ
を有するフォトレジスト層を提供するように選択する。
【0004】大抵の場合、フォトレジストがICウエハ
に塗布された後、ICウエハおよびフォトレジスト層は
熱的加熱サイクルもしくはこれに類する熱工程に曝され
る。この熱サイクルは「ソフト・ベーク」としても知ら
れ、フォトレジストをICウエハ表面にベーキングし
て、充分にフォトレジストを接着させ、後にフォトレジ
ストが剥離するのを抑える。
【0005】マスクは通常、クロムの不透明領域と水晶
基板とによって作られ、ICウエハの上に置かれる。ラ
ンプもしくはこれに類するエネルギー源が、マスクを通
して、ICウエハ上のフォトレジスト層の一部を選択的
に露光する。露光は、フォトレジスト層の露光部分の分
子量を変化させる一方で、未露光部分の分子量は変化せ
ずに残す。
【0006】ついで、「現像液」として知られる液体も
しくは薬剤を使用して、フォトレジストを現像もしくは
エッチングする。現像液は、分子量と相関してフォトレ
ジストを選択的にエッチングする。一部の現像液は、分
子量の低いフォトレジスト分子をエッチングし、また一
部の現像液は、高い分子量を有するフォトレジスト分子
を現像する。現像液を用いることにより、マスクによっ
て画定されたマスク・パターンが、ICウエハの上に位
置するフォトレジスト層に転写される。場合によって
は、フォトレジストの現像工程後、「ハード・ベーク」
を実行してフォトレジストを再度ベーキングすることも
ある。
【0007】ついで、ICウエハの上に位置するフォト
レジスト・パターンを用いて、フォトレジストの下に位
置する層の中に、パターン/領域をエッチングもしくは
形成する。いったんフォトレジストを使用して、下の層
の中にICのパターンを形成したなら、エッチング工程
を用いて、フォトレジスト部分をすべて除去する。既知
のアッシュ工程(ash steps ),ウェット・エッチング
技術,洗浄サイクル,脱イオン水によるすすぎ,および
/またはこれらに類する工程を用いて、フォトレジスト
に露出された後のICウエハを洗浄する。
【0008】
【発明が解決しようとする課題】フォトレジストはIC
の加工で広範に使用されるが、フォトレジストにはいく
つか欠点がある。前述のフォトレジスト加工は多くの加
工工程を必要とする。またフォトレジストは、金属の汚
染物質およびナトリウムなど、望ましくないIC汚染物
質の源になっている。フォトレジストを一定のエッチン
グ剤,プラズマ環境などに露出すると、ICウエハの上
に位置して「ベール」もしくは「スカム」として知られ
る望ましくない有機層を生じる可能性がある。これら望
ましくない有機層は、除去しにくく、歩留まりを低下さ
せたり、または接点抵抗を増加するおそれがある。この
技術では反射ノッチング(reflective notching )な
ど、フォトレジストに伴う他の既知の問題も存在する。
【0009】フォトレジストと同様の方法でパターン化
できるもう一つの材料がポリイミドである。ポリイミド
は有機材料であり、フォトレジストと同様に汚染されや
すい。ポリイミドはまた充分な拡散障壁ではなく、膜の
厚さを制御するのが難しく、応力に関連して問題点があ
り、サブミクロン範囲の解像能力が不充分である。
【0010】したがって、リソグラフィ加工およびリソ
グラフィック材料の改良が望まれる。
【0011】
【課題を解決するための手段】本発明によって上記の欠
点が克服され、他の利点も得られる。一つの形式では、
本発明は、集積回路基板の上に位置するように材料のパ
ターン化層を形成する方法によって構成される。この方
法は、集積回路基板の上に位置する非有機誘電層を形成
することから始まる。非有機誘電層は、エネルギー源の
露光に反応して物理的に変化する材料である。この非有
機誘電層はエネルギー源の照射に選択的に露光され、こ
こで非有機誘電層の一部が、エネルギー源の照射によっ
て化学的に変化して、非有機誘電層の露光部分と非有機
誘電層の未露光部分とを作る。非有機誘電層の領域は選
択的に除去され、材料のパターン化層を形成し、ここ
で、除去される非有機誘電層の領域は、非有機誘電層の
露光部分または非有機誘電層の未露光部分のいずれかで
ある。
【0012】もう一つの形式では、本発明は、開口部を
形成する方法によって構成される。微細構成表面が基板
の上に形成される。微細構成表面は凹部領域を有する。
この微細構成表面の上に位置するように、コンフォーマ
ル誘電層が形成される。プラグ領域は、このコンフォー
マル誘電層の上に位置し、少なくとも一部が、上記凹部
領域内に位置する形で形成される。コンフォーマル誘電
層は、プラグ領域をマスキング層として使用して、エネ
ルギー源の照射に露光される。この露光は、コンフォー
マル誘電層の第1部分およびコンフォーマル誘電層の第
2部分を形成し、ここにおいて、第1部分は、第2部分
が露光される第2のエネルギー強度と等しくない第1の
エネルギー強度に露光される。コンフォーマル誘電層の
第1部分が除去され、コンフォーマル誘電層内に前述の
開口部を形成する。
【0013】さらに別の形式では、本発明は、基板の上
に位置するパターン化層を形成する方法によって構成さ
れる。材料の非有機誘電層は、前記基板の上に位置する
ように形成される。材料の非有機誘電層は、エネルギー
源に選択的に露光されて、材料の非有機誘電層の露光部
分と材料の非有機誘電層の未露光部分とを形成する。材
料の非有機誘電層の露光部分は、第1原子結合エネルギ
ーを有し、これは、未露光領域の第2原子結合エネルギ
ーとは異なる。
【0014】本発明は、添付図と合わせて、以下の詳細
な説明からより明確に把握されよう。
【0015】
【実施例】図1に、本発明によるパターン化層の形成に
適した構造を示す。図1は基板12を示す。基板12
は、シリコン,ガリウム砒素,シリコン・オン・サファ
イア(SOS),エピタキシャル形成,ゲルマニウム,
ゲルマニウム・シリコン,ダイアモンド,シリコン・オ
ン・インシュレータ(silicon on insulator:SOI)
材料,および/またはこれらに類する基板材料によって
作ることができる。基板12は単結晶シリコンで作るの
が望ましい。基板12は、埋め込み層,拡散,トランジ
スタ電極,トレンチ領域,ウェルなどを含むことができ
る。デバイス層14は、基板12の上に位置するように
形成される。デバイス層14は、ゲート酸化物またはフ
ィールド酸化物(fiedl oxide )などの単一層か、また
はポリシリコン・ゲート,アモルファス・シリコン領
域,半導体層,エピタキシャル・シリコン,金属層,シ
リサイド(silicides ),サリサイド(salicides ),
酸化物,相互接続,層間誘電体,分離の形成,能動デバ
イス,受動デバイスなど垂直に積層された複数の層が可
能である。デバイス層14は、本発明で開示する方法
が、集積回路のいずれの層でも実行できることを示すこ
とを意図している。場合によっては、デバイス層14は
基板12の上部部分と見なすこともできる(すなわち、
基板12の上に介在層が全くのっていない)。
【0016】デバイス層14は、平坦な上部表面を有す
るか、あるいは非平坦形(non-planar)表面の微細構成
を有する集積回路表面を有することができる。非平坦形
表面の微細構成は完全に平坦でなく、代わりにいくつか
の高い部分と低い部分とを有するいずれの微細構成でも
可能である。この高い部分を本発明では凹部領域とい
う。
【0017】図1において、層16は、デバイス層14
の上に位置するように形成される。層16は、非有機材
料,被有機誘電材料,窒化物材料(すなわち、窒化ほう
素,酸化窒化ほう素,シリコン窒化物,プラズマ・エン
ハンスド・シリコン窒化物(plasma enhanced silicon
nitride :PEN),酸化窒化物,およびこれらに類す
る窒化物材料)のいずれか一つであり、紫外線(UV)
などに感応する層である。紫外線に感応する層は、紫外
線エネルギー源への露光によって化学的もしくは機械的
に変化する材料である。たとえば、この層の中の分子の
分子量、原子間の原子結合エネルギー、分子の化学組
成、層のエッチング選択性もしくはエッチング速度、膜
応力などが変化する可能性がある。大抵の場合、層16
は、厚さが10オングストローム単位から1000オン
グストローム単位の範囲を有するコンフォーマルな材料
被膜である。層16は、厚さがおよそ200〜300オ
ングストロームから2000〜3000オングストロー
ムの範囲を有するPEN層であることが望ましい。層1
6は、デポジション(deposition)工程,成長工程,ま
たは選択的デポジション工程によって形成できる。
【0018】図2において、マスク18は、層16の上
にまたは隣接して位置づけられる。一部の半導体装置シ
ステムでは、基板12およびマスク18は、互いに横方
向に隣接する形で位置づけられる。図2に示すように、
マスク18は、斜線で示された光が透過できない不透明
/暗領域を有し、また光もしくはエネルギーが透過でき
る透明な領域を有する。この技術では、数種類のマスク
およびマスク製造技術が存在し、図2ではそのすべてが
適用できる。一般に、不透明領域は、パターン化された
クロム領域によって形成され、透明領域はそれぞれ、露
光された水晶基板領域によって形成される。
【0019】図2において、エネルギー源にはマスク1
8を通して露光されて、層16を選択的に露光する。紫
外線(UV)20が層16を露光するのに用いられる
が、他のエネルギー源も存在する。層16がプラズマ・
エンハンスド窒化物(PEN)によって作られる場合に
は、光20の最適な波長は405ナノメートル未満とな
ることが実験により認められた。具体的には、PEN内
に水素結合が存在することにより、254ナノメートル
の波長が、PEN応力および/またはPEN内の原子の
原子結合エネルギーに対し強い影響を有する。水素結合
を多少もしくは多量に有する材料はいずれも、254ナ
ノメートルの波長で照射される紫外線によって影響を受
ける。紫外線による最良の結果を得るには、水素結合は
弱い結合に(すなわち、材料被膜内の他の原子結合より
も弱く)すべきである。一般に、紫外線源が弱い場合に
は、紫外線露光は、高い強度もしくは長時間行わなけれ
ばならない。マスク18は、図に示すように透過する光
の強度を選択的に制御することにより、層16の露光領
域16bおよび未露光領域16aを形成する。露光領域
16bに照射される紫外線は、領域16bに化学的,原
子的および/または機械的変化を起こさせる。PENの
場合、未露光領域16aと比較すると、露光領域16b
内では原子結合エネルギーが変化する。
【0020】未露光領域16aおよび露光領域16b
は、UV露光によりエッチング剤に露出される場合、異
なるエッチング速度およびエッチング選択性を有する。
エッチング速度およびエッチング選択性のこのような違
いは、時間が経過すれば(すなわち、数時間または数日
間強力なUV露光を行えば)修復される。したがって、
層16は、UV露光の強度および時間に応じて、予め定
められたタイム・リミット内でエッチングを行う必要が
ある。
【0021】図3では、エッチング剤を用いて、未露光
領域16aを除去し、露光領域16bを残す。このエッ
チング剤は通常、HF溶液,HF気相エッチングである
か,または既知の窒化物ドライ・エッチング・プロセス
である。(脱イオン水:HFの比率が)100:1の組
成を有するHFガス・エッチングおよびHFウェット・
エッチングが用いられた。機械的な能動よりも化学的に
能動なプラズマ・エッチング(すなわち、エッチングに
おけるボンバートメント率を低下)も、同様に充分な選
択性を生じる。そのため、パターン化層が層16によっ
て形成される。注目すべき重要なことは、このパターン
化層の形成には、フォトレジスト層の使用が不要だった
ことである。露光領域16bによって形成されるパター
ン化層は、その下に位置する層のマスクとして使用で
き、エッチング・ストップにもなり、インプラント・マ
スクとしての使用、エッチング・マスクとしての使用、
研磨マスクとしての使用が可能であり、或いはフォトレ
ジスト・マスキング層と同様の働きをすることができ
る。
【0022】未露光領域および露光領域は、エッチング
速度の違いを有し、これは結果として3:1を上回る選
択性をもたらすことが、実験により認められた。UV露
光工程は、周囲の原子(すなわち、シリコン,窒素,ほ
う素など)との水素結合を変化させることが実験により
認められた。より多くのアンモニア(NH3 )もしくは
これに類する水素含有ガス・ソースを使用して層16内
の水素を増加すれば、より高い選択性が達成される。露
光時間および露光エネルギーもまた、選択性を変化させ
る。
【0023】パターン化されたマスキング層に窒化物材
料または窒化材料などの非有機誘電体を使用すれば、そ
の結果いくつかの利点が得られる。集積回路の加工にフ
ォトレジストを使用すると、多くの加工工程が必要にな
る(例:フォトレジストのスピン・コーティング、フォ
トレジストのベーキング,フォトレジストの露光,フォ
トレジストの現像,フォトレジストの二回目の選択的ベ
ーキング,フォトレジストの除去,有機ベールなどの洗
浄,off of water)。本発明で開示する工程は、非有機
誘電材料のみを使用しており、非有機材料のデポジショ
ン,材料の露光,および材料のエッチングを必要とす
る。マスキング層(すなわち、露光領域16b)は誘電
材料であるために、露光領域16bは、フォトレジスト
のように除去する必要がなく、これを残しておいて、絶
縁の改良または自己整合構造などさらなる利点をもたら
すことができる。
【0024】シリコン窒化物などの非有機誘電材料は、
フォトレジストに比べ極めて高温に耐えることができ、
一般にフォトレジスト膜よりも強固である。気相反応法
(CVD),低圧CVD(LPCVD),プラズマCV
D(PECVD),選択的加工などによって形成される
窒化物またはこれに類する材料の厚さは、フォトレジス
ト,ポリイミドまたはこれに類するスピンオン(spin-o
n )材料に比べて大幅に制御しやすい厚さである。フォ
トレジストと違って、非有機誘電体は、膜で被覆して共
形にするか、或いはレジスト・エッチバック(resist e
tch-back)もしくは化学機械研磨(CMP)によって研
磨して、局所的または大域的に平坦化された材料層を提
供することができる。非有機誘電体は通常、フォトレジ
ストもしくはこれに類する有機材料に比べて、ナトリウ
ムおよび金属の汚染物質の含有が少なくなる。
【0025】非有機誘電体はサブミクロン範囲の小型形
状にパターン化できる。フォトマスクとして使用される
非有機誘電体は、パターン形成後に熱膨張/圧縮を受け
る可能性が少なく、通常、フォトレジストなどに比べて
接着性に関する問題が少ない。ポリイミドと違って、窒
化物などの非有機体は優れた拡散障壁を作る。また本発
明で開示する非有機誘電体を使用して、自己整合構造が
形成できる。フォトマスク層に窒化物などを使用すれ
ば、コストが安くなる。たとえば、窒化物のデポジショ
ン装置および窒化物エッチング装置は、最新のIC製造
施設でいつでも入手できる。そのため、既に市販されて
いる窒化物装置を、フォトレジスト・スピンオン装置お
よびこれに対応する高価なリソグラフィ・システムと置
き換えることができる。一般に窒化物を使用すると、フ
ォトレジスト工程に比べてコスト有効性が高まり、処理
能力が向上しよう。
【0026】また、窒化物などの非有機誘電体は、フォ
トレジスト材料と違って、10オングストローム単位か
ら1000オングストローム単位での精密な制御および
デポジションが可能である。
【0027】図4〜図7は、本発明によるパターン化層
を接点またはビア(via )の形で形成する方法を示す。
図4では、基板12およびデバイス層14を示す。また
パターン化された導電層22を示す。導電層22はポリ
シリコン層,アモルファス・シリコン層,相互接続,ト
ランジスタ電極,シリサイド/サリサイド層,金属層,
導電酸化物,またはこれに類する導電/半導電層が可能
である。層間誘電層24は導電層22の上に位置するよ
うに形成される。層間誘電層24は通常TEOSベース
の酸化物である。
【0028】図5において、層16は誘電層24の上に
形成される。層16は、図1〜図3に示すように、紫外
線に露光されエッチングされる。図1〜図3に示す工程
の結果、図5に示すようなパターン化層16ができる。
層16は複数の開口部17を有する。
【0029】図6において、層16に合わせて誘電層2
4を選択的にエッチングするエッチング工程が使用され
る。このエッチング剤はたとえば、HFウェット・エッ
チング,CHF3 /CF4 異方性工程またはC26
方性工程が可能である。この技術では、酸化物対窒化物
の選択性が30:1を上回るエッチング工程が現在開発
され使用されている。図6に示すエッチングは開口部1
7を深くして、導電層22の一部を図のように露出す
る。
【0030】図7において、第2導電層25は、導電層
22の上に位置し、導電層22と電気的に接続される形
で形成される。これにより電気接点またはビアが形成さ
れる。注目すべき重要なことは、マスキング層として使
用された層16は残されて、誘電層24に絶縁を付加す
ることである。層16がフォトレジスト材料の場合に
は、層16を残すことはできない。
【0031】図8〜図11では、本発明による構造が形
成される。図1〜図7の要素に類似する図8〜図11の
要素には同じ番号が付される。図8に、基板12および
デバイス層14を示す。層26はデバイス層14の上に
位置するように形成される。層26は、用途に応じて導
電材料または誘電材料のいずれでもよい。層26は複数
の開口部を有し、これらは図8に示すが特に番号は付け
ていない。
【0032】図9では、層16というコンフォーマル非
有機誘電層が、デバイス層14および層26の上に形成
される。層16はたとえば、プラズマ・エンハンスド窒
化物(PEN)層が可能である。また、プラグ領域28
は、化学/機械研磨,スピンオン加工またはレジスト・
エッチバックなどによって形成できる。プラグ領域28
は、層16の上であって層26の開口部の中に位置する
ように形成される。プラグ領域28が形成される場合に
は、紫外線露光27または紫外線露光29のいずれかを
使用して、層16の露光部分および未露光部分を形成す
る。プラグ領域28が形成されない場合には、層26の
開口部内にある層16の部分が露光されないよう回避す
るために、紫外線露光27を使用しなければならない。
傾角および選択的回転露光工程を使用して、層26の開
口部内にある層16の部分の露光を回避できる。傾角お
よび回転工程は、フォトリソグラフィ加工では普通使用
されないが、半導体産業ではイオン注入加工に使用され
る。
【0033】図10は、図10に示す紫外線露光の結果
生じた露光領域16bおよび未露光領域16aを示す。
未露光領域16aは層26内の開口部の中に位置する。
【0034】図11において、未露光領域16aは、本
発明で開示するエッチング加工によって除去される。残
った露光領域16bは、層26内の開口部に合わせて自
己整合領域を形成するのに使用できる。サイドウォール
接点,選択的加工構造,およびその他の構造が、図8〜
図11に示す工程を用いて製造できる。
【0035】図12〜図16は、本発明によるパターン
化層を形成する方法を自己整合接点/ビア開口部の形で
示す。図1〜図7の要素と類似する図12〜図17の要
素には同じ番号が付けられる。基板12およびデバイス
層14を図12に示す。図に示すように導電層30が形
成され、パターン化/エッチングされる。注意すべき重
要なことは、接在する導電相互接続を形成する方法は数
多く存在し、図12ではどの相互接続の形成方法も使用
できることである。またこの技術では、多くの導電材料
が存在し、図12では導電層30の形成にそのすべてを
使用できる。誘電層33はデバイス層14の上に位置す
るように形成される。誘電層33は開口部を有し、これ
らには番号が付されていない。これらの開口部は、導電
層30の一部を露出する接点/ビア開口部を形成する。
【0036】図12では、導電プラグ領域32が、誘電
層33の開口部内に形成される。プラグ領域32を形成
するのに使用する材料をエッチバック加工または研磨す
ることにより、結果としてプラグ領域32の上部表面が
生じ、この上部表面は非平坦形微細構成を有する。実
際、誘電層33とプラグ領域32とを結合した微細構成
は、サイドウォール,低い部分,高い部分および他の非
平坦化部分を有する。プラグ領域32は、チタンケイ化
物およびこれに類するシリサイドなどのケイ化材料部分
を有することができる。プラグ領域32は、タングステ
ン・プラグ,複合金属材料,金属材料,耐熱金属,ポリ
シリコン,アモルファス・シリコン,チタン窒化物,チ
タン・タングステン,もしくはこれに類するプラグ材料
が可能である。プラグ領域32はまた、既知の障壁層,
エッチ・ストップ層,拡散障壁材料,反射防止コーティ
ング(ARC)層などを含むことができる。
【0037】図13において、層16は、誘電層33お
よびプラグ領域32の結合によって作られる微細構成の
上に共形に形成される。層16は、PEN窒化物材料
か、またはこれに類する非有機誘電体である。
【0038】図14では、材料層34が、層16の上に
形成される。材料層34は、スピンオン・グラス(spin
-on glass )(SOG)加工などによってデポジション
および形成することができる。材料層34は、紫外線に
対し実質的に感応しないいずれの材料でも可能である。
通常、材料層34は、ルチニウム酸化物,ゲルマニウム
酸化物,またはこれに類する誘電層であるが、チタン窒
化物などいくつかの導電材料も紫外線露光に対して感応
しない。材料層34は、水溶性の材料など、層16に合
わせて選択的に除去できる材料にすべきである。
【0039】図15において、材料層34は、レジスト
・エッチバック加工または化学機械研磨(CMP)によ
って研磨および/または平坦化される。研磨または平坦
化は、材料層34からプラグ領域34’を形成する。プ
ラグ領域34’は、図15に示す導電プラグ領域32の
上に位置する。紫外線露光が実行されて、層16の露光
領域16bおよび未露光領域16aを形成する。プラグ
領域34’は、プラグ領域34’が紫外線に感応しない
という事実のために、層16に対してマスキング層とし
て働く。図15に示す層16の上で実行される加工は、
図1〜図3に示す工程と似ている。
【0040】図16において、プラグ領域34’は、従
来のエッチング加工によって除去される。層16の未露
光領域16aは本発明で開示するように除去される。プ
ラグ領域32に合わせた自己整合接点開口部が、露光領
域16bによって形成される。導電層36が形成されて
電気接点を完成する。
【0041】図17は、紫外線露光が、PEN層の原子
結合エネルギーに影響を及ぼすことを示す。図17は、
「紫外線に露光しない」PEN膜部分および「紫外線に
露光した」もう一つのPEN窒化物膜部分についての、
フーリエ変換赤外分光(FTIR)グラフを示す。図1
7を見ると、結果として窒化物膜のエッチングに変化を
生じる原子結合エネルギーの変化が一目で分かる。
【0042】本発明は具体的な実施例を参照して説明し
てきたが、当業者はさらなる変更および改良を思いつこ
う。たとえば、本発明で開示する方法は、集積回路のみ
ならず、フラット・パネル・ディスプレイ,回路基板,
およびその他の関連技術にも使用できる。非有機誘電マ
スキング層を形成するために本発明で開示したエッチン
グ剤は、装置および用途に応じてこれと異なるものも可
能である。多くの非有機誘電体,窒化物材料,または水
素含有材料が、紫外線感応性マスキング層に使用でき
る。本発明で開示した方法は、接点の形成,ビアの形
成,分離構造の形成,自己整合相互接続の形成,または
多くの集積回路の形成に使用できる。紫外(UV)線
は、レーザー,X線リソグラフィ,移相技術,電子ビー
ム技術,またはその他の既知のエネルギー源と置き換え
られる。本発明で開示した方法および材料を使用して、
ネガ型もしくはポジ型のフォトレジストと同様の働きを
させることができる。また本発明で開示した方法を用い
て、電子デバイスを形成したり、或いは微小機械などの
機械的デバイスを形成できる。したがって、本発明は図
に示す特定の形式には限定されないこと、また添付請求
の範囲は、本発明の意図および範囲から逸脱しないすべ
ての変形をカバーすることを意図していることを理解さ
れたい。
【図面の簡単な説明】
【図1】本発明によるパターン化層を形成する方法を断
面図で示す。
【図2】本発明によるパターン化層を形成する方法を断
面図で示す。
【図3】本発明によるパターン化層を形成する方法を断
面図で示す。
【図4】本発明によるパターン化層を使用して、相互接
続または接点を形成する方法を断面図で示す。
【図5】本発明によるパターン化層を使用して、相互接
続または接点を形成する方法を断面図で示す。
【図6】本発明によるパターン化層を使用して、相互接
続または接点を形成する方法を断面図で示す。
【図7】本発明によるパターン化層を使用して、相互接
続または接点を形成する方法を断面図で示す。
【図8】本発明によるパターン化層の別の方法を断面図
で示す。
【図9】本発明によるパターン化層の別の方法を断面図
で示す。
【図10】本発明によるパターン化層の別の方法を断面
図で示す。
【図11】本発明によるパターン化層の別の方法を断面
図で示す。
【図12】本発明により自己整合接点開口部またはビア
開口部を形成する方法を断面図で示す。
【図13】本発明により自己整合接点開口部またはビア
開口部を形成する方法を断面図で示す。
【図14】本発明により自己整合接点開口部またはビア
開口部を形成する方法を断面図で示す。
【図15】本発明により自己整合接点開口部またはビア
開口部を形成する方法を断面図で示す。
【図16】本発明により自己整合接点開口部またはビア
開口部を形成する方法を断面図で示す。
【図17】紫外線(UV)が、本発明によるプラズマ・
エンハンスド窒化物(PEN)内の原子の原子結合エネ
ルギーに対して影響を有することをグラフで示す。
【符号の説明】
12 基板 14 デバイス層 16 層 16a 未露光領域 16b 露光領域 17 開口部 18 マスク 20 紫外線(UV) 22 導電層 24 誘電層 25 第2導電層 26 層 27,29 紫外線露光 28 プラグ領域 30 導電層 32 導電プラグ領域 33 誘電層 34 材料層 34’ プラグ領域 36 導電層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スタンリー・エム・フィリピアク アメリカ合衆国テキサス州フィリューガ ーヴィル、グリーンウェイ・ドライヴ 500 (72)発明者 ジェフリー・ティー・ウェツェル アメリカ合衆国テキサス州オースティ ン、トレイル・クレスト・サークル4710 (56)参考文献 特開 平3−291931(JP,A) 特開 平5−102032(JP,A) 特開 昭51−135913(JP,A) 特開 平4−125931(JP,A) 米国特許5310626(US,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 H01L 21/318

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 パターン化層を形成する方法であって: 基板を設ける段階; 前記基板の上に位置する微細構成表面を形成し、前記微
    細構成表面は高い表面および低い表面を有する段階であ
    って、前記高い表面および前記低い表面はサイドウォー
    ルによって分離され、前記サイドウォールおよび前記低
    い表面は前記微細構成表面の凹部表面領域を形成する段
    階; 前記微細構成表面の上に位置するコンフォーマル材料層
    を形成し、前記コンフォーマル材料層は、前記微細構成
    表面の前記凹部表面領域の上に位置する前記コンフォー
    マル材料層の凹部表面領域を形成し、前記コンフォーマ
    ル材料層は、エネルギー源に対し原子的に感応する段
    階; 前記コンフォーマル材料層の前記凹部表面領域を、前記
    エネルギー源に対し実質的に感応しないプラグ材料で埋
    める段階; 前記コンフォーマル材料層の一部を、エネルギー源から
    のエネルギーに露光する段階であって、前記コンフォー
    マル材料層の露光部分は、前記プラグ材料に隣接して形
    成され、前記コンフォーマル材料層の未露光部分は、前
    記プラグ材料の下に位置する形で形成される段階; 前記プラグ材料を除去する段階;および前記コンフォー
    マル材料層の前記未露光部分を除去して、前記パターン
    化層を形成する段階; によって構成されることを特徴とする方法。
  2. 【請求項2】 材料層内に開口部を形成する方法であっ
    て: 基板を設ける段階; 前記基板の上に位置する微細構成表面を形成し、前記微
    細構成表面は凹部領域を形成する段階; 前記微細構成表面の上に位置する前記材料層として、コ
    ンフォーマル誘電層を形成する段階; 前記コンフォーマル誘電層の上に位置し、少なくとも一
    部が前記凹部領域内に位置するようにプラグ領域を形成
    する段階; 前記プラグ領域をマスキング層として使用して、前記コ
    ンフォーマル誘電層をエネルギー源の照射に露光して、
    前記コンフォーマル誘電層の第1部分および前記コンフ
    ォーマル誘電層の第2部分を形成する段階であって、前
    記第1部分は、前記第2部分が露光されるエネルギーの
    強度と等しくないエネルギー強度に露光される段階;お
    よび前記コンフォーマル誘電層の前記第1部分を除去し
    て、前記コンフォーマル誘電層内に前記開口部を形成す
    る段階; によって構成されることを特徴とする方法。
JP05110594A 1993-03-01 1994-02-25 集積回路基板の上に位置するパターン化層を形成する方法 Expired - Fee Related JP3445652B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/024,027 US5310626A (en) 1993-03-01 1993-03-01 Method for forming a patterned layer using dielectric materials as a light-sensitive material
US024027 1993-03-01

Publications (2)

Publication Number Publication Date
JPH07307263A JPH07307263A (ja) 1995-11-21
JP3445652B2 true JP3445652B2 (ja) 2003-09-08

Family

ID=21818466

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05110594A Expired - Fee Related JP3445652B2 (ja) 1993-03-01 1994-02-25 集積回路基板の上に位置するパターン化層を形成する方法

Country Status (2)

Country Link
US (1) US5310626A (ja)
JP (1) JP3445652B2 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
KR0171069B1 (ko) * 1994-10-27 1999-03-30 문정환 반도체 장치의 접촉부 형성방법
US5527736A (en) * 1995-04-03 1996-06-18 Taiwan Semiconductor Manufacturing Co. Dimple-free tungsten etching back process
US6849471B2 (en) * 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
US5776833A (en) * 1996-09-04 1998-07-07 Mosel Vitelic Inc. Method for forming metal plug
US5928960A (en) * 1996-10-24 1999-07-27 International Business Machines Corporation Process for reducing pattern factor effects in CMP planarization
US6153358A (en) * 1996-12-23 2000-11-28 Micorn Technology, Inc. Polyimide as a mask in vapor hydrogen fluoride etching and method of producing a micropoint
US6011291A (en) * 1997-02-21 2000-01-04 The United States Of America As Represented By The Secretary Of The Navy Video display with integrated control circuitry formed on a dielectric substrate
US6291356B1 (en) 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6440638B2 (en) 1998-09-28 2002-08-27 International Business Machines Corp. Method and apparatus for resist planarization
US6437424B1 (en) * 1999-03-09 2002-08-20 Sanyo Electric Co., Ltd. Non-volatile semiconductor memory device with barrier and insulating films
JP4108228B2 (ja) * 1999-07-15 2008-06-25 富士通株式会社 半導体装置の製造方法
US6610585B1 (en) * 2002-02-26 2003-08-26 International Business Machines Corporation Method for forming a retrograde implant
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
KR100476932B1 (ko) * 2002-10-02 2005-03-16 삼성전자주식회사 커패시터를 포함하는 반도체 소자의 제조 방법
US7132221B2 (en) * 2003-09-12 2006-11-07 Headway Technologies, Inc. Method to print photoresist lines with negative sidewalls
US7074710B2 (en) * 2004-11-03 2006-07-11 Lsi Logic Corporation Method of wafer patterning for reducing edge exclusion zone
ATE543210T1 (de) * 2005-09-16 2012-02-15 Imec Verfahren zum herstellen von engen graben in dielektrischen materialien
TWI412079B (zh) * 2006-07-28 2013-10-11 Semiconductor Energy Lab 製造顯示裝置的方法
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
EP2312619A4 (en) * 2008-08-07 2012-12-12 Fujikura Ltd MANUFACTURING METHOD FOR SEMICONDUCTOR COMPONENT
US20220137658A1 (en) * 2020-10-30 2022-05-05 Ablic Inc. Semiconductor device with reference voltage circuit
CN116913789A (zh) * 2023-09-12 2023-10-20 浙江星曜半导体有限公司 一种选择性封装的方法、封装结构和射频模组

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737446A (en) * 1986-12-30 1988-04-12 E. I. Du Pont De Nemours And Company Method for making multilayer circuits using embedded catalyst receptors

Also Published As

Publication number Publication date
US5310626A (en) 1994-05-10
JPH07307263A (ja) 1995-11-21

Similar Documents

Publication Publication Date Title
JP3445652B2 (ja) 集積回路基板の上に位置するパターン化層を形成する方法
US6008123A (en) Method for using a hardmask to form an opening in a semiconductor substrate
US6127096A (en) Method for reducing photolithographic steps in a semiconductor interconnect process
US8039195B2 (en) Si device making method by using a novel material for packing and unpacking process
US5804088A (en) Intermediate layer lithography
US5895740A (en) Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6136679A (en) Gate micro-patterning process
US7364836B2 (en) Dual damascene process
US6187689B1 (en) Manufacture of semiconductor device with fine patterns
US5023203A (en) Method of patterning fine line width semiconductor topology using a spacer
USRE41697E1 (en) Method of forming planarized coatings on contact hole patterns of various duty ratios
US7935477B2 (en) Double patterning strategy for contact hole and trench
US7256136B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
JPH08255752A (ja) 反射防止被膜を有する半導体素子およびその製造方法
KR100434133B1 (ko) 중간층리쏘그래피
US20080020327A1 (en) Method of formation of a damascene structure
US5930672A (en) Manufacture of semiconductor device having reliable and fine connection hole
KR100281213B1 (ko) 반도체 장치 제조 방법
US5922516A (en) Bi-layer silylation process
US5609994A (en) Method for patterning photoresist film having a stepwise thermal treatment
JP2001326173A (ja) パターン形成方法
US20020137331A1 (en) Method of forming contact holes of reduced dimensions by using reverse-transcription process
US8940641B1 (en) Methods for fabricating integrated circuits with improved patterning schemes
KR100214277B1 (ko) 셀 어퍼쳐 제조방법과 반도체소자의 미세패턴 형성방법
KR100772699B1 (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080627

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090627

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090627

Year of fee payment: 6

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D03

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090627

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100627

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110627

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110627

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120627

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees