CN113574456B - 用于光刻胶粘附和剂量减少的底层 - Google Patents

用于光刻胶粘附和剂量减少的底层 Download PDF

Info

Publication number
CN113574456B
CN113574456B CN202180002531.2A CN202180002531A CN113574456B CN 113574456 B CN113574456 B CN 113574456B CN 202180002531 A CN202180002531 A CN 202180002531A CN 113574456 B CN113574456 B CN 113574456B
Authority
CN
China
Prior art keywords
film
underlayer
substrate
imaging layer
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202180002531.2A
Other languages
English (en)
Other versions
CN113574456A (zh
Inventor
薛君
玛丽·安妮·马努姆皮尔
李达
萨曼塔·S·H·坦
游正义
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310506176.3A priority Critical patent/CN116705595A/zh
Priority to CN202111256563.3A priority patent/CN114200776A/zh
Publication of CN113574456A publication Critical patent/CN113574456A/zh
Application granted granted Critical
Publication of CN113574456B publication Critical patent/CN113574456B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本公开一般涉及包括底层和成像层的图案化结构,及其方法和设备。在特定实施方案中,底层提供成像层的辐射吸收率和/或图案化性能的增加。

Description

用于光刻胶粘附和剂量减少的底层
通过引用并入
PCT请求表作为本申请的一部分与本说明书同时提交。本申请要求其在同时提交的PCT请求表中确定的利益或优先权的每份申请均通过引用整体并入本文并用于所有目的。
技术领域
本公开总体上涉及半导体处理领域,特别是涉及极紫外(EUV)光刻胶(PR)光刻技术和材料。
背景技术
随着半导体制造的不断进步,特征尺寸不断缩小,并且需要新的处理方法。正在取得进展的一个领域是图案化(例如使用对光刻辐射敏感的光刻胶材料进行图案化)背景。
本文提供的背景描述是为了概括地呈现本公开的上下文。当前指明的发明人的工作(就其在本背景部分中描述的范围而言)以及在提交时可能不符合现有技术的描述的各方面均未明示或暗示承认为对抗本公开的现有技术。
发明内容
本文的各种实施方案涉及用于在衬底上沉积底层的方法、材料、设备和系统。
在第一方面,本公开包括一种图案化结构,其包括:设置在衬底上的辐射敏感成像层;以及设置在衬底和成像层之间的底层。在一些实施方案中,底层被配置为:增加衬底和成像层之间的粘附力和/或减少用于成像层的有效光刻胶暴露的辐射剂量。
在一些实施方案中,衬底进一步包括设置在其上的硬掩模。
在一些实施方案中,成像层包括极紫外(EUV)敏感无机光刻胶层。在特定实施方案中,成像层是化学气相沉积(CVD)膜、原子层沉积(ALD)膜或旋涂膜。在其他实施方案中,成像层包括氧化锡膜或氧化锡氢氧化物膜。
在一些实施方案中,衬底是或包括硬掩模、无定形碳膜、无定形氢化碳膜、氧化硅膜、氮化硅膜、氮氧化硅膜、碳化硅膜、硼氮化硅膜、无定形硅膜、多晶硅膜,或其组合。在特定实施方案中,无定形碳膜掺杂有硼(B)或钨(W)。
在一些实施方案中,底层具有不超过25nm的厚度。在其他实施方案中,底层具有约2至20nm的厚度。
在特定实施方案中,底层包括氢化碳,其掺杂有氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)、或其中任何两种或更多种的组合。在特定实施方案中,底层包括约0-30原子%氧(O)和/或约20-50原子%氢(H)和/或30-70原子%碳(C)。在其他实施方案中,底层包括掺杂有碘的氢化碳,其被配置为在暴露于辐射时改进二次电子的生成。在另外其他的实施方案中,底层的表面包括羟基基团(例如-OH)、羧基基团(例如-CO2H)、过氧基基团(例如-OOH)、sp2碳、sp碳和/或不饱和的含碳键(例如,C=C和/或C≡C键)。
在一些实施方案中,底层包括约0.7至2.9g/cm3的密度。在其他实施方案中,底层进一步提供增加的蚀刻选择性。在另外其他的实施方案中,底层进一步提供减小的线边缘和线宽粗糙度和/或减小的剂量尺寸比。
在特定实施方案中,底层进一步包括被配置为在暴露于辐射时被释放的β氢原子和/或被配置为与成像层中的原子形成氧键的氧原子。
在第二方面,本公开包括一种图案化结构,其包括:包括部分制造的半导体器件膜堆叠的衬底;设置在衬底上的辐射敏感成像层;以及设置在衬底和成像层之间的底层。在特定实施方案中,底层包括掺杂有O、Si、N、W、B、I、Cl或其中任何两种或更多种的组合的氢化碳的气相沉积膜,其中该膜具有不超过约25nm的厚度或约2至20nm的厚度。在另外其他的实施方案中,衬底进一步包括设置在衬底上和/或设置在部分制造的半导体器件膜堆叠上的无定形碳硬掩模。在一些实施方案中,无定形碳硬掩模被掺杂。
在第三方面,本公开包括一种制作图案化结构的方法,该方法包括:提供衬底;在衬底上沉积底层(例如,本文所述的任何底层);以及在底层上形成辐射敏感成像层。在一些实施方案中,底层被配置为:增加衬底和光刻胶之间的粘附力和/或减少用于有效光刻胶暴露的辐射剂量。
在一些实施方案中,衬底是部分制造的半导体器件膜堆叠。在其他实施方案中,衬底进一步包括设置在衬底和/或部分制造的半导体器件膜堆叠上的硬掩模、无定形碳膜、无定形氢化碳膜、氧化硅膜、氮化硅膜、氮氧化硅膜、碳化硅膜、硼氮化硅膜、无定形硅膜、多晶硅膜,或其组合;成像层包括氧化锡基光刻胶或氧化锡氢氧化物基光刻胶;并且底层包括掺杂有O、Si、N、W、B、I、Cl或其中任何两种或更多种的组合的氢化碳的气相沉积膜,其中该膜具有不超过25nm的厚度。
在一些实施方案中,使用烃前体将底层气相沉积在衬底上,从而提供含碳膜。在特定实施方案中,烃前体包括烷烃、烯烃、炔烃或本文所述的其他烃前体。在其他实施方案中,在存在或不存在碳氧化物前体(例如,本文所述的包括碳和氧原子的任何碳氧化物前体)的情况下,使用烃前体气相沉积底层。
在另外其他的实施方案中,在含氮前体、含钨前体、含硼前体和/或含碘前体的存在下,使用烃前体气相沉积底层,从而提供掺杂膜。在一些实施方案中,掺杂膜包括碘;碘和硅的组合;或碘、硅和氮的组合。
在一些实施方案中,使用与氢(H2)或烃共反应的碳氧化物前体将底层气相沉积在衬底上。在其他实施方案中,碳氧化物前体与H2或烃共反应并且任选地进一步与Si源掺杂剂共反应。在特定实施方案中,通过使用与氧化剂(例如,碳氧化物或含O前体)共反应的含Si前体将底层气相沉积在衬底上。在进一步的实施方案中,含Si前体进一步与C源掺杂剂(例如,烃前体)共反应。
在一些实施方案中,所述沉积进一步包括以0W至约1000W(例如,0-500W、0-400W或0-300W)的偏置功率施加偏置并且使用约1%至100%或约5%至100%的占空比。在特定实施方案中,与未施加偏置而形成的底层相比,所述施加偏置提供具有增加的密度的底层。
在一些实施方案中,作为衬底上气相沉积的终止操作,通过等离子体增强化学气相沉积(PECVD)将底层气相沉积在衬底上。在其他实施方案中,底层通过PECVD或ALD气相沉积在衬底上。
在进一步的实施方案中,该方法包括(例如,在所述沉积之后)改性底层以提供粗糙表面。在一些实施方案中,所述改性可包括通过底层表面的非反应性离子轰击进行溅射,从而提供粗糙表面。非限制性非反应性离子可包括氩气(Ar)、氦气(He)、氪气(Kr)或其他非反应性物质。在其他实施方案中,改性可包括将底层的表面或粗糙表面暴露于含氧等离子体以提供含氧表面。非限制性含氧等离子体可包括二氧化碳(CO2)、氧气(O2)或水(作为H2O或作为H2和O2的混合物)。
在第四方面,本公开包括一种沉积底层的方法,该方法包括:在处理室中提供衬底;以及通过PECVD工艺在衬底的表面上沉积氢化碳膜,其中氢化碳膜为低密度膜。在一些实施方案中,衬底是或包括硬掩模。
在一些实施方案中,PECVD工艺包括引入选自甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)的含碳前体。在其他实施方案中,PECVD工艺进一步包括引入含氮前体、含钨前体、含硼前体和/或含碘前体,从而提供掺杂膜。
在一些实施方案中,PECVD工艺包括变压器耦合等离子体(TCP)或电感耦合等离子体(ICP)。在特定实施方案中,TCP功率为约100-1000W,没有偏置。在其他实施方案中,PECVD工艺进一步包括约10-1000mTorr的压强和/或约0-100℃的温度。在另外其他的实施方案中,PECVD工艺进一步包括施加的包括约10-1000W功率的脉冲偏置或施加的包括约10-500W功率的连续波偏置。在进一步的实施方案中,施加的脉冲偏置包括约1-99%的占空比和约10-2000Hz的脉冲频率。
在进一步的实施方案中,该方法包括(例如,在所述沉积之后)改性氢化碳膜以提供粗糙表面。在一些实施方案中,所述改性可包括通过膜表面的非反应性离子轰击进行溅射,从而提供粗糙表面。非限制性非反应性离子可包括氩气(Ar)、氦气(He)、氪气(Kr)或其他非反应性物质。在其他实施方案中,改性可包括将膜的表面或粗糙表面暴露于含氧等离子体以提供含氧表面。非限制性含氧等离子体可包括二氧化碳(CO2)、氧气(O2)或水(作为H2O或作为H2和O2的混合物)。
在第五方面,本公开的特征在于一种用于处理衬底的设备,该设备包括:包括衬底支撑件的处理室;与处理室和相关联的流量控制硬件连接的处理气源;与处理室连接的衬底处理硬件;以及具有处理器和存储器的控制器,其中处理器和存储器彼此通信连接。在特定实施方案中,处理器至少与流量控制和衬底处理硬件可操作地连接。
在特定实施方案中,衬底支撑件可以是卡盘或基座。在其他实施方案中,该设备包括通向处理室的一个或多个气体入口,其中气体入口流体连接到处理气体源和相关联的流量控制硬件;以及用于从处理室和相关联的流量控制硬件中去除材料的一个或多个气体出口。
在一些实施方案中,存储器存储用于执行本文所述的任何方法中所述的操作的计算机可执行指令。在一个实施方案中,计算机可执行指令包括机器可读指令,其用于:引起提供衬底或设置在衬底上的硬掩模;引起在衬底和/或硬掩模上沉积底层(例如,本文所述的任何底层);以及引起在底层上形成辐射敏感成像层(例如,本文所述的任何辐射敏感成像层)。
在另一个实施方案中,计算机可执行指令包括用于通过PECVD工艺在衬底的表面或硬掩模上沉积氢化碳膜(例如,本文所述的任何氢化碳膜)的机器可读指令。在进一步的实施方案中,计算机可执行指令包括用于引起在氢化碳膜上形成辐射敏感成像层(例如,本文所述的任何辐射敏感成像层)的机器可读指令。
在特定实施方案中,所述引起底层的沉积包括引入或输送一种或多种前体(例如,烃前体、氧碳化物前体、含C前体、含O前体、含Si前体、含N前体、含W前体、含B前体、含I前体或含Cl前体)和/或一种或多种工艺气体(例如,本文所述的任何工艺气体)。
在其他实施方案中,所述引起底层的沉积包括等离子体(例如,变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP))。在特定实施方案中,等离子体是具有约100-1000W的功率、约10-1000mTorr的压强和/或约0-100℃的温度的TCP或ICP。在其他实施方案中,等离子体进一步包括施加的脉冲偏置(例如,约10-1000W的功率)或施加的连续波偏置(例如,约10-500W的功率)。
在一些实施方案中,所述引起成像层的形成包括引起具有高图案化辐射吸收截面的元件的沉积。在特定实施方案中,该元件具有高EUV吸收截面(例如,等于或大于1x107cm2/mol)。
在其他实施方案中,引起成像层的形成包括引入或输送一种或多种前体(例如,具有式(I)、(II)、(IIa)、(III)、(IV)、(V)、(VI)、(VII)或(VIII)的结构))。在一些实施方案中,引起成像层的形成可进一步包括在反反应物(counter-reactant)的存在下提供一种或多种前体。非限制性反反应物包括含氧反反应物,包括氧(O2)、臭氧(O3)、水、过氧化物、过氧化氢、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇、甲酸和其他羟基部分来源,及其组合。
在本文的任何实施方案中,衬底是或包括部分制造的半导体器件膜堆叠。
在本文的任何实施方案中,衬底是硬掩模。在其他实施方案中,衬底包括硬掩模。在另外其他的实施方案中,衬底包括设置在工件上(例如,设置在晶片、半导体晶片、堆叠、部分制造的集成电路、部分制造的半导体器件膜堆叠、膜、表面等上)的硬掩模。在非限制性实例下,硬掩模包括无定形碳硬掩模,其可以被任选地掺杂。
在本文的任何实施方案中,成像层包括EUV敏感无机光刻胶层。在特定实施方案中,成像层包括氧化锡膜、氧化锡氢氧化物膜、氧化锡基光刻胶或氧化锡氢氧化物基光刻胶。在其他实施方案中,成像层包括EUV敏感膜、DUV敏感膜、UV敏感膜、光刻胶膜、可光图案化膜。
在本文的任何实施方案中,衬底是或包括硬掩模、无定形碳膜、无定形氢化碳膜、氧化硅膜、氮化硅膜、氧氮化硅膜、碳化硅膜、硼氮化硅膜、无定形硅膜、多晶硅膜,或其组合。在一些实施方案中,硬掩模是无定形碳膜、无定形氢化碳膜、氧化硅膜、氮化硅膜、氮氧化硅膜、碳化硅膜、硼氮化硅膜、无定形硅膜、多晶硅膜或其组合。
在本文的任何实施方案中,底层包括掺杂有氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)或其中任何两种或更多种的组合的氢化碳。
在本文的任何实施方案中,底层或底层的表面包括羟基基团(例如,-OH)、羧基基团(例如,-CO2H)、过氧基基团(例如,-OOH)、sp2碳、sp碳和/或不饱和的含碳键(例如,C=C和/或C≡C键)。
在本文的任何实施方案中,底层包括掺杂膜。在特定实施方案中,掺杂膜包括I;I和Si的组合;或者I、Si和N的组合。在一些实施方案中,掺杂膜包括Cl;Cl和Si的组合;或者Cl、Si和N的组合。在其他实施方案中,掺杂膜包括N;N和Si的组合;或者N、Si和O的组合。在另外其他的实施方案中,掺杂膜包括B或W。
在本文的任何实施方案中,底层包括约0-30原子%的O(例如,1-30%、2-30%或4-30%)、约20-50原子%的H(例如,20-45%、30-50%或30-45%)和/或30-70原子%的C(例如,30-60%、30-65%或30-68%)。
在本文的任何实施方案中,底层包括小于约1.5g/cm3的密度或约0.7-1.4g/cm3的密度。在其他实施方案中,掺杂膜具有约0.7-1.4g/cm3的密度。
在本文的任何实施方案中,底层进一步提供增加的蚀刻选择性。在其他实施方案中,底层进一步提供减小的线边缘和线宽粗糙度和/或减小的剂量尺寸比。在特定实施方案中,底层进一步包括被配置为在暴露于辐射时被释放的β氢原子和/或被配置为与成像层中的原子形成氧键的氧原子。
在本文的任何实施方案中,沉积包括提供或沉积蒸汽形式的一或多种前体。在其他实施方案中,沉积包括以蒸汽形式提供一种或多种反反应物。在特定实施方案中,沉积包括CVD、ALD或其等离子体增强形式(例如PECVD)。
在本文的任何实施方案中,沉积可包括输送或引入本文所述的一种或多种前体。非限制性前体包括烃前体、碳氧化物前体和/或掺杂剂前体(例如,含O前体、含Si前体、含N前体、含W前体、含B前体、含I前体或含Cl前体)。所述沉积还可包括输送或引入一种或多种工艺气体,例如惰性气体、一氧化碳(CO)、二氧化碳(CO2)、氦气(He)、氩气(Ar)、氪气(Kr)、氖气(Ne)、氮气(N2)、氢气(H2)或其组合。
在本文的任何实施方案中,沉积可包括提供等离子体。提供可以包括PECVD工艺。非限制性等离子体工艺可包括TCP、ICP或CCP。其他非限制性处理条件包括>1毫托(mTorr)(例如,约5-1000mTorr)的压强、<4000瓦(W)(例如,约10-3000W)的功率水平,和/或<200℃(例如,约0-100℃)的温度。等离子体可以在射频(RF)源于0.3-600MHz下运行,在约10-3000W之间的功率下生成。如本文所述,可使用施加的脉冲偏置(例如,约10-1000W的功率)或施加的连续波偏置(例如,约10-500W的功率)施加偏置。
本发明的其他特征和优点将从以下描述和权利要求中显而易见。
附图说明
图1呈现了非限制性方法100的工艺流程图。
图2A至图2F呈现了示例性图案化结构的示意图。提供了如本文所述的示例性图案化结构的制造中的(A-C)阶段;(D)示出成像层218和底层216之间可能的相互作用的截面图;(E)成像层内的非限制性反应方案;以及(F)成像层228和底层226之间的非限制性反应方案。
图3示出了可灰化硬掩模(AHM)的各种实施方案、底层的两个实施方案(实施方案1和2)以及旋涂碳(SOC)膜的膜特性。提供了诸如临界尺寸扫描电子显微照片(CDSEM)和线临界尺寸(LnCD)与无偏置线宽粗糙度(LWRunbi)的比率(比率表示为LnCD/LWRunbi)等参数。
图4示出了脉冲偏置对底层的非限制性实施方案(实施方案1和3)的密度的影响。提供了以0W、70W或140W的偏置功率和0%(未施加偏置功率)、10%、20%、30%、40%或50%的占空比生产的膜,如图中所示。
图5示出了脉冲偏置对底层的非限制性实施方案(实施方案3)的底切的影响。提供了参数,例如剂量尺寸比(DtS)、脉冲偏置(示出了RF偏置功率为140W并且占空比(DC)为10%或50%)、CDSEM、LnCD、无偏置LWR与空间宽度粗糙度(SpWR)的比率(比率表示为无偏置LWR/SpWR)、SpWR的各种频率分量(包括低频(LF)、中频(MF)和高频(HF)分量,表示为LF/MF/HFspwr)和底切特性。
图6示出了用作底层(AL412)和底层(实施方案1、4和5)的N掺杂的旋涂碳膜的非限制性实施方案的蚀刻速率。
图7示出了底层(实施方案11、12和1)的非限制性实施方案的蚀刻速率。
图8呈现了用于干显影的处理站300的实施方案的示意图。
图9呈现了多站处理工具400的实施方案的示意图。
图10呈现了电感耦合等离子体设备500的实施方案的示意图。
图11呈现了半导体处理集群工具架构600的实施方案的示意图。
图12描绘了干法沉积设备700的示例的截面示意图。
图13描绘了顶板、衬底和边缘环的一部分的详细侧截面图和平面图。
具体实施方式
在此详细参考本公开的具体实施方案。具体实施方案的示例在附图中示出。虽然将结合这些具体实施方案来描述本公开,但是应当理解,其不旨在将本公开限制于这种具体实施方案。相反,它旨在覆盖可包括在本公开的精神和范围内的替代、修改和等同物。在以下描述中,阐述了许多具体细节以提供对本公开的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践本公开。在其他实例中,没有详细描述众所周知的工艺操作,以免不必要地混淆本公开。
极紫外(EUV)光刻术—通常波长为13.5nm—被认为是下一个光刻图案化使能技术。然而,许多技术障碍推迟了该技术的广泛引入和实施。EUV光刻胶(PR)是障碍之一。
传统的化学放大抗蚀剂(CAR)提供了一种成本有效的方法。但是有机聚合物CAR会产生线边缘粗糙度(LER)和线宽粗糙度(LWR),并且由于聚合物使用的随机波动而具有灵敏度和分辨率限制。最近的研发工作集中在新的EUV无机光刻胶平台开发上。与基于聚合物的CAR系统相比,这种系统具有多种优势。这些无机光刻胶通常基于金属氧化物,包括金属氢氧化物氧化物。较小的金属氧化物分子尺寸提高了图案化步骤的最终分辨率,并且金属氧化物光刻胶通常表现出比CAR更高的耐蚀刻性,这可以减少PR厚度以降低结构纵横比。
然而,也存在与无机PR相关的各种挑战。旋涂碳(SOC)硬掩模材料通常用于硬掩模膜堆叠中,EUV PR应用于该堆叠中以进行图案化。但是SOC具有抗蚀刻性差和LWR差的富软碳(C)膜。诸如氧化硅(例如,二氧化硅SiO2)、氮化硅和可灰化硬掩模(AHM)之类的常见的硬掩模材料可以直接在PR下使用,以获得较好的蚀刻选择性和良好的LER和LWR。但是EUV PR和硬掩模材料之间的分层(特别是在EUV暴露PR的湿显影之后)是一个顽固的问题。并且只有约20%的EUV光子被典型的PR吸收,这意味着通常会在PR底层中产生大量的初级和次级电子。剂量与尺寸比(DtS)数据表明,与SOC上的EUV无机PR相比,直接设置在常见硬掩模材料上的EUV无机PR需要更高的剂量来解决相同的线临界尺寸。
如本文所述,直接设置在EUV无机PR下方的薄底层膜使得膜堆叠EUV无机PR具有改进的性能。与直接在SOC堆叠上的EUV无机PR相比,使用此底层,所得的多层(例如双层)硬掩模方案具有相当或更好的DtS性能。无论硬掩模膜组成如何,底层也可以作为EUV无机PR和硬掩模之间的粘合层,这实现了改进的蚀刻选择性和LER/LWR性能。可以在底层下方采用除硬掩模之外的表面,其中底层可以用作EUV PR和任何有用的衬底(例如,硬掩模、晶片、部分制造的半导体器件膜堆叠等)之间的粘合层。
如下文进一步描述的,合适的底层薄膜可通过化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)或其他气相沉积方法(例如通过溅射沉积、物理气相沉积(PVD),包括PVD共溅射)沉积。底层沉积工艺可以在蚀刻工具(例如
Figure BDA0003261355850000121
或/>
Figure BDA0003261355850000122
可从加利福尼亚州弗里蒙特的Lam ResearchCorporation获得)或沉积工具(例如Lam />
Figure BDA0003261355850000123
)中实施。在一些实施方式中,它可以集成为硬掩模沉积工艺中的终止步骤。可以根据膜堆叠选择底层的不同膜组成。
还应该理解的是,虽然本公开涉及光刻图案化技术和以EUV光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm EUV波长的EUV之外,与这种光刻术最相关的辐射源是DUV(深紫外),其一般指使用248nm或193nm准分子激光源;X射线(其正式包括X射线范围较低能量范围内的EUV);以及电子束(其可以覆盖很宽的能量范围)。这种方法包括那些将具有暴露羟基基团的衬底与烃基取代的锡封端剂接触以形成烃基封端的氧化锡(SnOx)膜作为衬底表面上的成像/PR层的方法。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本申请中描述的方法仅仅是可用于本技术的方法和材料的示例。
图1描绘了本公开的一个方面(一种制作图案化结构的方法)的工艺流程。方法100包括在101处提供衬底。衬底可以是例如以任何合适的方式制造的硬掩模、膜、堆叠、部分制造的半导体器件膜堆叠等。在一些实施方案中,衬底可以包括设置在工件(例如部分制造的半导体器件膜堆叠)上的硬掩模。在膜堆叠最上层上的硬掩模可以具有多种组成,例如SiO2、氮化硅、可灰化硬掩模材料,并且可以通过化学气相沉积(例如PECVD)形成。在一些实施方式中,需要由无定形碳膜组成的可灰化硬掩模。例如,在此上下文中的无定形碳膜可以未掺杂或掺杂有硼(B)或钨(W)。例如,合适的无定形碳膜可具有包括约50至80原子%的碳(C)、10至20原子%的氢(H)和5至40原子%的B或W掺杂剂的组成。
还可以采用另外其他的衬底。例如,衬底可以是或包括无定形氢化碳、氧化硅、氮化硅、氧氮化硅、碳化硅、硼氮化硅、无定形硅、多晶硅,或任何形式(例如,块状膜、薄膜、另一膜、堆叠等)的本文所述的任何种类的组合。
在103处,在衬底上沉积光刻胶底层。底层被配置为增加衬底和随后形成的EUV敏感无机光刻胶之间的粘附力,并减少用于光刻胶的有效EUV暴露的EUV剂量。底层可以是或包括掺杂有O、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)或其中任何的组合(例如,Si和O的组合)的氢化碳的气相沉积膜。在一个实施方案中,通过引入或输送烃前体(例如,以提供碳原子)和掺杂剂前体(例如,以提供掺杂的非碳原子)来沉积膜。在另一个实施方案中,通过在沉积之后引入或输送提供掺杂膜的含杂原子的前体(例如含碘前体)来沉积膜。特别是,掺有碘的氢化碳膜可以改进暴露于EUV辐射时二次电子的生成。本文描述了提供这种底层的其他非限制性前体和掺杂剂。
该膜可具有不超过约25nm的厚度。例如,光刻胶底层可以具有约2到20nm(例如,2、3、4、5、6、7、8、9、10、15或20nm)的厚度,并且可以任选地包括约0-30个原子%的O和/或约20-50原子%的氢(H)和/或30-70原子%的C。本文描述了其他底层特性。
在一些实施方式中,可以使用可含有或不含一氧化碳(CO)和/或二氧化碳(CO2)的烃前体通过PECVD或ALD将底层气相沉积在衬底上。在特定实施方案中,气相沉积包括引入或输送不含CO和不含CO2的烃前体。
在一些实施方式中,可以使用与氢(H2)或烃共反应的碳氧化物前体通过PECVD或ALD将底层气相沉积在衬底上。在该实施方式的变体中,碳氧化物前体可在沉积期间进一步与Si源掺杂剂共反应。在特定实施方案中,碳氧化物前体可包括CO或CO2。不希望受机制限制,碳氧化物前体的使用可以包括到底层的羟基(-OH)基团或其他含氧基团,这可以提供亲水性增加的(与缺乏这种-OH或含氧基团的底层相比)亲水性表面或面。在非限制性示例中,亲水性表面可提高底层和PR层之间的粘附力。
在其他实施方式中,可以使用与氧化剂(例如,碳氧化物、含O的前体、CO或CO2)共反应的含Si前体通过PECVD或ALD将底层气相沉积在衬底上。在该实施方式的变体中,含Si前体进一步与C源掺杂剂(例如,如本文所述的烃前体)共反应。本文描述了非限制性的含硅前体,例如硅烷、卤代硅烷、氨基硅烷、烷氧基硅烷、有机硅烷等。
在一些实施方式中,可以通过PECVD,例如通过调整进入PECVD处理室的前体流量以获得光刻胶底层的所需组成,将底层气相沉积在衬底上作为衬底上气相沉积的终止操作。
在其他实施方式中,可以通过PECVD在衬底上气相沉积底层以提供氢化碳膜。在一些实施方案中,膜是低密度膜(例如,0.7-2.9g/cm3)。在其他实施方案中,未掺杂膜(或掺杂前的膜)具有小于约1.5g/cm3的密度或约0.7-1.4g/cm3的密度。在另外其他的实施方案中,掺杂膜具有约0.7-1.4g/cm3的密度。
PECVD工艺可以包括任何有用的前体或前体的组合。在一个实施方案中,前体是烃前体(例如,本文所述的任何一种)。任选地,在PECVD期间通过使用含杂原子的前体(例如,含氮前体、含钨前体、含硼前体和/或含碘前体)形成掺杂的氢化的碳膜。
底层的沉积可包括使用等离子体(例如,如在PECVD工艺中),包括变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP)。在特定实施方案中,沉积可使用具有最小偏置(例如,无偏置)的低TCP功率(例如,约100-1000W)以提供低密度膜。当然,如本文所述,可以采用更高功率的等离子体。在某些实施方案中,等离子体(例如,TCP或ICP)的生成可以通过处于连续波(CW)模式的功率控制。
沉积(例如,使用处于CW模式的TCP或ICP功率)可以包括施加的偏置(无论频率如何),该偏置是脉冲的(例如,在约1Hz至约10kHz的范围内,例如10-2000Hz),其中占空比介于1%到99%之间。这里描述了额外的脉冲频率和占空比。在一些实施方案中,可以提供施加的脉冲偏置以控制离子能量。非限制性施加的脉冲偏置功率可为约10-1000W,以及本文所述的其他范围。
在另外其他的实施方案中,沉积可以包括施加的CW偏置。CW偏置也可用于控制离子能量。在一些实施方案中,所施加的CW偏置功率可为10-1000W(例如,10-500W、10-400W以及本文所述的其他范围)。
另外其他的条件(例如,可用于低密度膜)包括使用某些压力条件(例如,如5-1000毫托(mTorr),包括10-1000mTorr、10-500mTorr或10-400mTorr)和某些温度条件(例如,如约0-100℃,包括0-50℃和10-40℃)。
可以采用脉冲或连续偏置来微调膜的特性。在一个实施方案中,与用0W偏置功率制备的低密度膜相比,脉冲偏置可以提供更高密度的膜。在一些实例中,与低密度膜相比,这种更高密度的膜可以提供增加的抗蚀刻性。在其他实例中,与用0W偏置功率制备的低密度膜相比,这种更高密度的膜可以提供减少的底切。本文还描述了额外的等离子体条件和工艺。
再次转向图1,在105处,在底层上形成辐射敏感成像层。例如,成像层可以包括EUV敏感无机光刻胶。合适的EUV敏感无机光刻胶可以是金属氧化物膜,例如基于EUV敏感氧化锡的光刻胶。这种抗蚀剂(也称为成像层)及其形成和使用在例如以下申请中有描述:国际专利申请PCT/US2019/031618,其于2019年5月9日提交,公开为WO2019/217749,并且标题为METHODS FOR MAKING EUV PATTERNABLE HARD MASKS;和PCT/US2019/060742,其于2019年11月11日提交,公开为WO2020/102085,并且标题为METHODS FOR MAKING HARD MASKSUSEFUL IN NEXT GENERATION LITHOGRAPHY,其涉及用以形成EUV抗蚀剂掩模的可直接光图案化金属有机基金属氧化物膜的组成、沉积和图案化的公开内容通过引用并入本文。如其中所述,根据各种实施方案,EUV敏感无机光刻胶可以是旋涂膜或气相沉积膜。
图2A至图2C描绘了如本文所述的非限制性图案化结构的制造阶段。图2C所示的图案化结构具有设置在衬底202(例如,晶片或部分制造的半导体器件膜堆叠)上的硬掩模204。成像层208设置在硬掩模204之上。并且底层206设置在硬掩模204和成像层208之间。底层206可以被配置为增加硬掩模和成像层之间的粘附力,以及减少有效光刻胶暴露的辐射剂量。
在根据所描述实施方案的测试结构中,如本文所述,具有底层的无定形碳AHM上的EUV PR的DtS性能与SOC上的EUV PR一样好或甚至更好,在一些情况下,将所需剂量降低了10%或更多。此外,未观察到EUV PR从硬掩模双层(具有光刻胶底层的无定形碳AHM)的显影后剥离。
底层206可以进一步在结构中提供增加的蚀刻选择性和/或减少的线边缘和线宽粗糙度(LER/LWR)。在根据所描述的实施方案的测试结构中,LER/LWR相对于AHM或SOC上的EUV PR差不多一样好或者改进了25%或更多。
再次参考图2A至图2C,图2C的结构的制造的实施方式在图2A至图2B中示出。例如上面参考图1所描述的,图2A示出了设置在衬底202上的硬掩模204,而图2B示出了沉积在硬掩模204上的底层206。
在堆叠内使用底层可以提供改进的特性。在一个实例中,底层和成像层之间的相互作用提供了DtS的减少。如图2D所示,图案化结构包括设置在衬底212的表面上的硬掩模214,以及设置在成像层218和硬掩模214之间的底层216。可能的相互作用包括金属(M)原子218A从成像层218迁移(或扩散)到底层216;和/或氢(H)原子216A从底层216迁移(或扩散)到成像层218中。不希望受机制限制,这种迁移事件可以提供底层和成像层之间的富有成效的相互作用,这又可以有助于提高粘附力和/或DtS。
此外,底层和成像层的组成可以设计成促进有利的反应,这又可以提高DtS。例如,如图2E所示,成像层可以包括具有辐射可裂解配体的锡基光刻胶。在暴露于辐射(例如EUV)时,配体(R)从锡(Sn)中心消除,并在其位置中形成Sn-H键。在暴露后烘烤(PEB)步骤之后,Sn-H键参与进一步的热活化交联反应,从而增加了暴露和未暴露光刻胶之间的材料特性差异。
因此,在一个实例中,如图2F所示,底层226可包括配体(R1),其在暴露于EUV辐射时提供可释放的H原子,从而形成反应配体(R1*)。可能的R1基团包括,例如,可以是直链或支链的任选取代的烷基。在成像层228中,EUV可裂解配体R将提供消除的配体R*和反应性金属中心Sn。从底层226释放的H原子可以促进在成像层228内形成Sn-H键,从而减少DtS。如果底层还包括氧(O)原子,则这种原子可以在成像层中形成M-O键(例如,Sn-O键),这可以进一步降低DtS。此外,来自成像层228的Sn原子可以扩散到底层226中,从而允许生成额外的二次电子。
实施方式1:底层的干法沉积
可以以任何有用的方式沉积底层。在一个实例中,沉积包括烃前体或含碳前体(例如,本文所述的任何一种)的气相沉积。沉积可包括在沉积期间使用工艺气体(例如,作为等离子体或作为惰性气体),其中非限制性工艺气体包括一氧化碳(CO)、氦气(He)、氩气(Ar)、氪气(Kr)、氖(Ne)、氮气(N2)和/或氢气(H2)。
沉积条件包括对处理室内的前体流速、气体流速、处理压强、温度(例如,静电卡盘(ESC)温度)、等离子体(例如,TCP)功率、偏置功率和占空比(DC)的控制。前体的流速可以在每分钟约1-100标准立方厘米(sccm)之间。气体的流速可以在约1-1600sccm之间。室压强可以在约5-1000mTorr(例如,5-800mTorr、10-500mTorr、10-400mTorr、30-500mTorr、10-1000mTorr或30-1000mTorr)之间。ESC温度可以在约0-100℃(例如,0-50℃或10-40℃)之间。用于生成等离子体的功率可为每站约10-3000W(例如,100-1000W、200-1000W、200-800W或200-500W)。用于生成等离子体的RF频率可以在约0.3-600MHz之间(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其组合)。使用脉冲等离子体或连续波(CW)等离子体,RF偏置功率可以在约0-1000W之间。处理室可以是ICP室或CCP室。在ICP室的一些实施方案中,顶部ICP发生器和偏置发生器的频率都是13.5MHz。取决于底层,在一些实施方案中,压强可以是约10-400mTorr,并且TCP功率可以是约200-500W。
表1提供了非限制性底层的处理方案的示例。对于实施方案1,烃前体是甲烷(CH4),而另一种气体是He。对于实施方案2,烃前体为CH4,而工艺气体包括CO、H2和He。
表1:处理方案
参数 实施方案1 实施方案2
CH4流速 30sccm 15sccm
CO流速 0 50sccm
H2流速 0 50sccm
He流速 660sccm 185sccm
压强 150mTorr 30mTorr
ESC温度 20℃ 20℃
TCP功率 400W 400W
偏置模式 --- ---
偏置功率 0W 0W
图3提供了与AHM和SOC层相比的实施方案1和实施方案2的性能特征。在一个实施方案中,使用实施方案1的底层改进了DtS(例如,超过5%、10%、15%、20%、25%、30%或更多)。表2提供了与AHM相比的底层的耐蚀刻性,其中与AHM相比,底层显示出更高的相对蚀刻速率。膜组成也通过x射线光电子能谱(XPS)确定。与AHM相比,底层(实施方案1和2)包含更高的H和O含量。
表2:底层的耐蚀刻性
Figure BDA0003261355850000191
实施方式2:用于沉积底层的脉冲偏置工艺
进一步的工艺被开发以改进底层的耐蚀刻性。特别是,偏置功率用于改性底层密度。例如,底层的沉积可以包括偏置(不管频率),该偏置是脉冲的(例如,在约1Hz到约10kHz的范围内),其中DC介于约1%和99%之间。可以以任何有用的功率(例如约10-500W)提供这种偏置。
应当理解,等离子体脉冲可以涉及周期的重复,每个周期可以持续持续时间T。持续时间T包括在给定时间段内脉冲开启时的持续时间(等离子体处于开启状态的持续时间)和等离子体关闭时的持续时间(等离子体处于关闭状态的持续时间)。脉冲频率将被理解为1/T。例如,对于等离子体脉冲周期T=100μs,频率为1/T=1/100μs,或10kHz。占空比或占空度是等离子体处于开启状态的周期T中的分数或百分比,因此占空比或占空度是脉冲开启时间除以T。例如,对于等离子体脉冲周期T=100μs,如果脉冲开启时间为70μs(使得在一个周期内等离子体处于开启状态的持续时间为70μs)并且脉冲关闭时间为30μs(使得在一个周期内等离子体处于关闭状态的持续时间为30μs),占空比为70%。
另外其他的沉积条件可包括对处理室内前体流速、气体流速、处理压力、温度(例如,ESC温度)、等离子体功率、偏置功率、脉冲频率、DC和TCCT参数的控制。前体的流速可以在约1-100sccm之间。工艺气体的流速可以在约1-1600sccm之间。室压强可以在约5-1000mTorr(例如,5-800mTorr、10-500mTorr、10-400mTorr、30-500mTorr、10-1000mTorr或30-1000mTorr)之间。ESC温度可以在约0-100℃(例如,0-50℃或10-40℃)之间。用于生成等离子体的功率可以在约10-3000W(例如,100-1000W、200-1000W、200-800W或200-500W)之间。用于生成等离子体的RF频率可以在约0.3-600MHz之间(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其组合)。RF偏置功率可以在约10-1000W之间,其使用具有1-100%之间的DC的脉冲等离子体,其中100%指示CW(例如,1-99%)。RF偏置功率可以在低于5000Hz(例如约10-2000Hz的频率)下进行脉冲。TCCT参数可以从0.1到1.5。在一些非限制性过程中,等离子体暴露可包括高频(HF)RF分量(例如,通常在约2-60MHz之间)和低频(LF)RF分量(例如,通常从约100kHz-2MHz)。处理室可以是ICP室或CCP室。
表3提供了用脉冲偏置工艺形成的非限制性底层的处理方案的示例(实施方案3)。使用实施方案3形成各种底层膜,其中偏置功率为70W或140W并且其中DC在10-50%之间变化。这种非限制性膜的密度在图4中提供。与用0W偏置形成的膜相比,脉冲偏置工艺提供了具有增加的密度(例如,大于约1.09g/cm3的密度)的膜。以这种方式,可以通过添加偏置功率来微调底层的密度。在一些实例中,更致密的膜可以提供更低的蚀刻速率,从而提供改进的抗蚀刻性。
表3:脉冲处理方案
Figure BDA0003261355850000211
除了增加密度、降低蚀刻速率和/或增加抗蚀刻性之外,脉冲工艺还可以改进膜的其他特性。如图5所示,脉冲工艺可以在不牺牲DtS的情况下减少底切。处理条件包括CH4流速为30sccm、He流速为660sccm、压强为150mTorr、ESC温度为20℃、TCP功率为400W、偏置功率为140W、偏置频率为100Hz、DC为10%(图5,左侧膜)或50%(图5,右侧膜),并且TCCT为1.4。
实施方式3:掺杂底层的沉积
底层可以包括一种或多种掺杂剂(例如,当采用烃前体时包括非碳掺杂剂)。可以通过使用烃前体(例如以提供碳原子)和单独的掺杂剂前体(例如以提供掺杂的非碳原子)来提供掺杂剂。在另一个实施方案中,通过采用包括碳原子和杂原子的单一掺杂剂前体来提供掺杂剂。非限制性非碳杂原子包括氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)或其中任何的组合。本文描述了其他掺杂剂和含杂原子的掺杂剂前体。
在一些实例中,掺杂剂的使用可以改进抗蚀刻性。可以修改本文的任何处理方案以将掺杂剂并入底层。例如,沉积可以包括使用掺杂剂前体(例如,本文中描述的任何一种),以及本文中描述的针对前体的处理方案(例如,流速、压力、温度、等离子体功率、偏置功率、脉冲频率、占空比、TCCT等)可用于掺杂剂前体。
例如,前体(例如,烃前体和/或掺杂剂前体)的流速可以在约1-100sccm之间。工艺气体的流速可以在约1-1600sccm之间。室压强可以在约5-1000mTorr(例如,5-800mTorr、10-500mTorr、10-400mTorr、30-500mTorr、10-1000mTorr或30-1000mTorr)之间。ESC温度可以在约0-100℃(例如,0-50℃或10-40℃)之间。用于生成等离子体的功率可以在约10-3000W之间(例如,100-1000W、200-1000W、200-800W或200-500W)。用于生成等离子体的RF频率可以在约0.3-600MHz之间(例如,13.56MHz、60MHz、27MHz、2MHz、400kHz或其组合)。使用具有介于约1-99%之间的DC的脉冲等离子体或CW等离子体(100%的DC),RF偏置功率可以在约0-1000W之间。RF偏置功率可以在低于5000Hz(例如约10-2000Hz的频率)下进行脉冲。TCCT参数可以从0.1到1.5。处理室可以是ICP室或CCP室。
在一个实例中,掺杂剂是或包括氮(N)以提供N掺杂的底层。非限制性含氮前体可包括本文所述的任何前体,例如氮(N2)、氨(NH3)、肼(N2H4)、胺和氨基硅烷。在一个实例中,通过烃前体和含N前体的共流(co-flowing)形成N掺杂底层。
表4提供了用于非限制性N掺杂底层的处理方案的示例。对于实施方案4,烃前体为CH4,并且含N前体为N2。对于实施方案5,烃前体为CH4,并且含N前体为NH3
表4:氮掺杂底层的处理方案
参数 实施方案4(用N2) 实施方案5(用NH3)
CH4流速 30sccm 30sccm
N2流速 15sccm 0
NH3流速 0 15sccm
He流速 645sccm 645sccm
压强 150mTorr 150mTorr
ESC温度 20℃ 20℃
TCP功率 400W 400W
偏置功率 0W 0W
TCCT 1.3 1.3
在特定实施方案中,N掺杂底层的特征可在于存在N-H键(例如,在傅立叶变换红外光谱术(FTIR)光谱中约3500至3100cm-1和/或约1635cm-1处具有峰)和/或C≡N键(例如,在FTIR光谱中约2260至2222cm-1、约2244cm-1和/或约2183cm-1处具有峰)。
在一些实例中,可以改进掺杂底层的蚀刻速率。图6提供未掺杂的底层(实施方案1)、使用N2作为掺杂剂前体的第一N掺杂底层(实施方案4)、使用NH3作为掺杂剂前体的第二N掺杂底层(实施方案5)以及AL412底层的蚀刻速率。非限制性蚀刻条件包括使用具有以下条件的ICP室:压强=5mTorr;TCP=350W;TCCT=2;偏置电源=0V;CH4的流速=10sccm;O2的流速=60sccm;Ar的流速=200sccm;和ESC温度=30℃。可以看出,与未掺杂的底层相比,烃前体与NH3掺杂剂前体的共流改进了底层的耐蚀刻性。
在另一实例中,掺杂剂是或包括钨(W)以提供W掺杂的底层。非限制性含W前体可包括本文所述的任何前体,例如卤化钨(例如WF6、WCl6或WCl5)、羰基钨(例如W(CO)6)或其他。在一个实例中,W掺杂的底层通过烃前体和含W前体的共流形成。
在特定实施方案中,W掺杂底层的特征可在于存在W-OH…H2O键(例如,在FTIR光谱中的约3500至3400cm-1处具有峰),W=O键(例如,在FTIR光谱中的约981cm-1处具有峰),和/或W-O-W键(例如,在FTIR光谱中的约837cm-1、800cm-1和/或702cm-1处具有峰)。
表5提供了非限制性W掺杂底层的处理方案的示例。对于每个实施方案,烃前体是CH4。对于实施方案6,含W前体是1sccm的较低流速下的WF6。对于实施方案7,含W前体是2sccm的较高流速下的WF6。对于实施方案8,含W前体是1sccm的较低流速但50mTorr的较高压强下的WF6
表5:钨掺杂底层的处理方案
参数 实施方案1 实施方案6 实施方案7 实施方案8
CH4流速 30sccm 30sccm 30sccm 30sccm
WF6流速 0 1sccm 2sccm 1sccm
He流速 658-659sccm 658-659sccm 658-659sccm 659sccm
压强 30mTorr 30mTorr 30mTorr 50mTorr
ESC温度 20℃ 20℃ 20℃ 20℃
TCP功率 400W 400W 400W 400W
偏置功率 0W 0W 0W 0W
TCCT 0.2-1.2 0.2到1.2 0.2到1.2 0.2到1.2
掺杂底层的密度可以增加。表6提供了底层的折射率(在633nm处的RI)、沉积速率(Dep.Rate)和密度。从实施方案7中可以进一步看出,与在没有掺杂剂前体的情况下沉积的基线相比,烃前体与含W掺杂剂前体的共流增加了密度和RI。
表6:钨掺杂底层的特性
Figure BDA0003261355850000241
在又一实例中,掺杂剂是或包括硼(B)以提供B掺杂的底层。非限制性含B前体可包括本文所述的任何前体,例如卤化硼(例如BCl3)、硼烷(例如B2H6)、硼酸盐(例如B(OH)3)和有机硼化合物(例如B(CH3)3)。在一个实例中,B掺杂的底层通过烃前体和含B前体的共流形成。
在特定实施方案中,B掺杂底层的特征可在于存在B…OH键(例如,在FTIR光谱中的约3200cm-1处具有峰)、B-O键(例如,在FTIR光谱中的约1340cm-1处具有峰)和/或B-O-H键(例如,在FTIR光谱中的约1194cm-1处具有峰)。
表7提供了非限制性B掺杂底层的处理方案的示例。对于实施方案9,烃前体为CH4,并且含B前体为BCl3。对于实施方案10,沉积条件与实施方案9相同,但膜进一步用H2处理。在表7中,H2处理条件包括压强=5mTorr;TCP=300W;偏置功率=100W;H2的流速=200sccm;处理时间=1秒。
表7:硼掺杂底层的处理方案
参数 实施方案1 实施方案9 实施方案10
CH4流速 30sccm 30sccm 30sccm
BCl3流速 0 5sccm 5sccm
He流速 655sccm 655sccm 655sccm
H2处理
压强 150mTorr 150mTorr 150mTorr
ESC温度 20-24℃ 20-24℃ 20-24℃
TCP功率 400W 400W 400W
偏置功率 0W 0W 0W
TCCT 0.2-1.2 1.3 1.3
在特定实施方案中,可以增加掺杂底层的沉积速率和密度。表8提供了底层的633nm处的RI、沉积速率(Dep.Rate)和密度。从实施方案9可以看出,与在没有掺杂剂前体的情况下沉积的基线相比,烃前体与含B掺杂剂前体的共流增加了沉积速率和增加的密度。
表8:掺硼底层的特性
Figure BDA0003261355850000261
如本文所述,可以在沉积期间采用掺杂剂前体以提供掺杂的底层。在特定实施方案中,掺杂底层可具有增强的特性,例如改进的抗蚀刻性、蚀刻速率、折射率、沉积速率和/或密度。
实施方式4:各种烃前体的沉积
可以用任何有用的前体沉积底层。例如,前体可包括仅具有碳和氢原子的烃前体。在另一实例中,前体可以是具有碳原子、氢原子和非碳杂原子的含杂原子的烃前体。在又一实例中,前体可以是掺杂剂前体(例如,如本文所述)。
在烃前体中,可以采用各种化合物。例如,烃前体可包括脂肪族和芳香族化合物(例如烷烃、烯烃、炔烃、苯等),包括其取代形式。通过使用不同的碳氢化合物前体,可以改变底层内某些化学键的类型和数量。例如,使用不饱和烃前体可以提供(例如,与用增加量的饱和烃前体或减少量的不饱和烃前体形成的膜相比)具有增加的不饱和键含量(例如增加的C=C和/或C≡C键含量)、增加的sp2碳含量、增加的sp碳含量、降低的饱和键含量(例如,降低的C-C键含量)、降低的sp3碳含量和/或降低的C-H键含量的底层。烃前体的选择可取决于各种因素。在一个非限制性实例中,烃前体包括可提供足够H原子的饱和前体(例如,与C-C、C=C或C≡C含量相比具有增加的C-H键含量)。不希望受机制限制,选择这种前体可以提供与成像层中的原子相互作用的可释放H原子,从而导致与使用不饱和前体相比的改进的DtS。然而,在其他非限制性实例中,烃前体包括不饱和前体(例如,与C-H键含量相比,具有增加的C-C、C=C或C≡C含量)。不希望受机制限制,与使用饱和前体相比,选择这种前体可以提供增强的抗蚀刻性。
在特定实施方案中,底层的特征可在于存在C=CH键(例如,在FTIR光谱中的约3310cm-1处具有峰)和/或C=C键(例如,在FTIR光谱中的约1650至1600cm-1或1000至660cm-1处具有峰)。
表9提供了非限制性烃前体的处理方案的实例。对于实施方案1,烃前体是CH4。对于实施方案11,烃前体是乙炔(C2H2)。对于实施方案12,烃前体是丙炔(C3H4)。可以采用不同的等离子体类型(例如,ICP或CCP)。在一个实例中,采用ICP以允许单独控制离子能量和离子密度。无论是使用ICP还是CCP,都可以优化处理条件以获得类似的膜。例如,CCP通常采用比ICP更高的自偏置电压,从而导致等离子体以较高离子能量为特征。这种较高能量可以降低,例如,通过使用较高处理压力,从而实现可比的处理环境以提供与使用ICP获得的类似膜特性。因此,本文的处理可包括使用ICP或CCP,在一种或多种处理条件(例如压力、温度、前体或惰性气体的流速、处理时间等)中进行修改以实现目标膜组成和膜特性。
表9:烃前体的处理方案
Figure BDA0003261355850000271
在特定实施方案中,不饱和烃前体的使用提高了抗蚀刻性。图7提供了由C2H2前体(或HC≡CH,实施方案11)、C3H4前体(HC≡CCH3,实施方案12)和CH4前体(实施方案1)形成的底层的蚀刻速率。非限制性蚀刻条件包括使用具有以下条件的ICP室:压强=5mTorr;TCP=350W;TCCT=2;偏置电源=0V;CH4的流速=10sccm;O2的流速=60sccm;Ar的流速=200sccm;以及ESC温度=30℃。可以看出,与饱和烃前体(例如,其仅具有单键)相比,使用不饱和烃前体(例如,其具有三键)改进了底层的耐蚀刻性。
实施方式5:使用高EUV吸收原子
底层还可以包括具有高图案化辐射吸收截面(例如,等于或大于1x107cm2/mol的EUV吸收截面)的一个或多个原子。这种原子包括例如碘(I)。碘可以以任何有用的来源提供。例如,在沉积期间采用的前体可以是掺杂剂前体,其是具有一个或多个碘原子的烃。非限制性前体是具有一个或多个I原子的脂族或芳族化合物(例如,烷烃、烯烃或炔烃,包括其环状形式,以及苯)。前体的另外其他的示例包括碘乙炔(C2HI)、二碘乙炔(C2I2)、乙烯基碘(C2H3I)、碘甲烷(CH3I)、二碘甲烷(CH2I2)、1,1-二碘乙烯(C2H2I2)、(E)-1,2-二碘乙烯(反式C2H2I2)、(Z)-1,2-二碘乙烯(顺式C2H2I2)、烯丙基碘(C3H5I)、1-碘-1-丙炔(C3H3I)、碘代环丙烷(C3H5I)和1,1-二碘环丙烷(C3H4I2)。
可以组合本文的任何沉积条件以提供有益的底层。例如,脉冲偏置工艺可与本文所述的任何前体(例如,烃前体、掺杂剂前体或其组合)一起使用。在另一实例中,掺杂剂前体可以与本文所述的任何烃前体组合。此外,处理可以包括使用一种、两种、三种或更多种不同的前体(例如,两种或更多种烃前体;和/或两种或更多种掺杂剂前体)。在又一实例中,掺杂剂前体可以通过用一个或多个非碳杂原子改性本文的任何烃前体(例如饱和或不饱和烃前体)来生成。
可选择前体的组合以提供所需的膜特性。例如,可以选择特定的烃前体(例如,不饱和烃前体)以改进抗蚀刻性。然后,可以选择某些杂原子以提供具有增加的密度或折射率的膜(例如,杂原子,例如O、Si、N、W、B或I)。在一个实例中,底层可包括I、C、H和O原子;I、C、H和Si原子;I、H、N、O和Si原子;或I、C、H、N、O和Si原子。
最后,还可以选择另外其他的非碳杂原子以提供具有增强的EUV吸收度的膜(例如,杂原子,例如I或具有等于或大于1x107cm2/mol的EUV吸收截面的另一杂原子)。可以控制底层的厚度(例如,大于约5nm)。
前体(例如,用于底层)
本文的底层可以采用任何有用的前体或前体的组合。这种前体可包括仅包含碳(C)和氢(H)原子的烃前体,其中前体可为饱和的(仅具有单键)或不饱和的(具有一个或多个双键或三键),以及线性或环状。还有其他的前体可以包括一个或多个非碳杂原子,并且这种前体在本文中被称为掺杂剂前体。这种掺杂剂前体可以任选地包括与非碳原子组合的碳原子。在一些实施方案中,本文中的任何烃前体可以用一个或多个杂原子改性以提供掺杂剂前体。通用术语“前体”可以指烃前体和/或掺杂剂前体。在一些实例中,这种前体可以是气体,从而允许在处理室内进行气相沉积。
烃前体通常包括含碳前体。在一些实例中,烃前体仅包括C和H原子。烃前体可以是例如由式CxHy定义的一种前体,其中x是1到10的整数并且y是2到24的整数。这种前体的示例包括甲烷(CH4)、乙炔(C2H2)、乙烷(C2H6)、乙烯(C2H4)、丙烷(C3H8)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。
烃前体可以是脂肪族化合物(例如,C1-10烷烃、C2-10烯烃、C2-10炔烃,包括其线性或环状形式)或芳香族化合物(例如苯,及其多环形式)。烃前体可包括饱和键(单键,例如C-C键或C-H键)和/或不饱和键(双键或三键,例如C=C、C≡C或C≡N键)。
用于底层的有用前体还可包括一种或多种杂原子。这种杂原子可以是任何有用的非碳原子,例如氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)和其组合。因此,非限制性的含杂原子前体(本文也称为掺杂剂前体)可包括含O前体、含Si前体、含N前体、含W前体、含B前体、含I前体或含Cl前体。如本文所述,这种掺杂剂前体可以是无机的(缺乏碳原子)或有机的(包括碳原子)。
含O前体可以包括含O和C原子的碳氧化物前体。在特定实施方案中,碳氧化物前体与氢(H2)或烃反应并且任选地进一步与Si源或含Si前体共反应。还有其他含O前体可包括一氧化碳(CO)、二氧化碳(CO2)、水(H2O)、氧气(O2)、臭氧(O3)、过氧化氢(H2O2)、醇(例如,叔戊醇、乙醇、丙醇等)、多元醇(例如二醇,例如乙二醇)、酮、醛、醚、酯、羧酸、烷氧基硅烷、氧杂环戊烷(oxolane)或呋喃。
含Si前体可包括硅烷、卤代硅烷、氨基硅烷、烷氧基硅烷、有机硅烷等。在特定实施方案中,含Si前体与氧化剂(例如,本文所述的任何类型,例如含O前体或碳氧化物前体,包括CO和CO2)共反应。非限制性含Si前体包括聚硅烷(H3Si-(SiH2)n-SiH3),其中n>0。硅烷的示例是甲硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、二甲苯基硅烷、异戊基硅烷、叔丁基乙硅烷、二叔丁基乙硅烷等。
卤代硅烷包括至少一个卤素基团并且可以包括或可以不包括H和/或C原子。卤代硅烷的示例是碘硅烷、溴硅烷、氯硅烷和氟硅烷。具体的氯硅烷是四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、己基二甲基氯硅烷等。具体的碘硅烷是四碘硅烷、三碘硅烷、二碘硅烷、单碘硅烷、三甲基碘化硅烷等。
氨基硅烷包括与Si原子结合的至少一个N原子,但也可以含有H、O、卤素和/或C原子。氨基硅烷的示例是单-、二-、三-和四-氨基硅烷(分别为H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及取代的单-、二、三和四氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等。氨基硅烷的另一个示例是三甲硅烷基胺(N(SiH3))。
烷氧基硅烷包括与Si原子结合的至少一个O原子,但也可以含有H、N、卤素和/或C原子。烷氧基硅烷的示例是单-、二-、三-和四-烷氧基硅烷(分别为H3Si(OR)、H2Si(OR)2、HSi(OR)3和Si(OR)4,其中每个R可以独立地为任选取代的烷基或芳基),以及取代的单-、二-、三-和四-烷氧基硅烷,例如三甲氧基甲基硅烷(CH3Si(OCH3)3)、(3-氨基丙基)三甲氧基硅烷(NH2(CH2)3Si(OCH3)3)、(3-氨基丙基)三乙氧基硅烷(NH2(CH2)3Si(OCH2CH3)3)、三乙氧基乙烯基硅烷(CH2=CHSi(OCH2CH3)3)、三乙氧基乙基硅烷(CH3CH2Si(OCH2CH3)3)、三甲氧基苯基硅烷(PhSi(OCH3)3)、异丁基三乙氧基硅烷(i-BuSi(OCH2CH3)3)、二乙酰氧基二甲基硅烷((CH3)2Si(OCOCH3)2)等。另外其他的示例包括四乙氧基硅烷(Si(OCH2CH3)4)、三乙氧基硅烷(HSi(OCH2CH3)3)、四甲氧基硅烷(Si(OCH3)4)和三甲氧基硅烷(HSi(OCH3)3)。
含N前体包括任何具有至少一个N原子的前体,例如,氮气(N2);氨气(NH3);肼(N2H4);胺(带有碳的胺),例如甲胺、二甲胺、乙基甲胺、乙胺、异丙胺、叔丁胺、二叔丁胺、环丙胺、仲丁胺、环丁胺、异戊胺、2-甲基丁-2-胺、三甲胺、二异丙胺、二乙基异丙胺、二叔丁基肼,以及含芳香族的胺,如苯胺、吡啶和苄胺。另外其他的含N前体可包括腈(例如乙腈)、酰胺、含N杂环化合物或氨基醇(例如乙醇胺)。胺可以是伯胺、仲胺、叔胺或季胺(例如,四烷基铵化合物)。含N前体可包含除N以外的杂原子,例如羟胺、叔丁氧羰基胺和N-叔丁基羟胺是含N前体。
含W前体包括含钨卤化物前体,其可包括氟化钨,例如氟化钨(VI)(WF6);和氯化钨,例如氯化钨(VI)(WCl6)、氯化钨(V)(WCl5)和氧氯化钨(VI)(WOCl4)。在一些实施方案中,可以使用含金属-有机钨的前体,例如六羰基钨(W(CO)6)、均三甲苯三羰基钨([C6H3(CH3)3]W(CO)3)、双(叔丁基亚氨基)双(二甲氨基)钨(VI)([(CH3)3CN]2W[N(CH3)2]2)、双(环戊二烯基)钨(IV)二氢化物(H2WCp2)或其他。
含B前体包括卤化硼(例如BCl3)、硼烷(例如B2H6)、硼酸盐(例如B(OH)3)和有机硼化合物(例如B(CH3)3)。非限制性含B前体包括乙硼烷(B2H6)、硼酸三甲酯(B[OCH3]3)、硼酸三乙酯(B[OCH2CH3]3)、硼酸三异丙酯(B[OCH(CH3)2]3)、三甲基硼烷(B(CH3)3)、三乙基硼烷(B(C2H5)3),、三苯基硼烷(BPh3)、四(二甲氨基)二硼(B2(N(CH3)2)4)、三氟化硼(BF3)、三氯化硼(BCl3)、三溴化硼(BBr3)和碘化硼(BI3)。
含I的前体包括碘化烃化合物,例如碘乙炔(C2HI)、二碘乙炔(C2I2)、乙烯基碘(C2H3I)、碘甲烷(CH3I)、二碘甲烷(CH2I2)、1,1-二碘乙烯(C2H2I2)、(E)-1,2-二碘乙烯(反式C2H2I2)、(Z)-1,2-二碘乙烯(顺式C2H2I2)、烯丙基碘(C3H5I)、1-碘-1-丙炔(C3H3I)、碘环丙烷(C3H5I)和1,1-二碘环丙烷(C3H4I2)。
含Cl的前体包括氯化烃化合物,例如氯乙炔(C2HCl)、氯乙烯(C2H3Cl)、氯甲烷(CH3Cl)、二氯甲烷(CH2Cl2)、1,1-二氯乙烯(C2H2Cl2)、(E)-1,2-二氯乙烯(反式C2H2Cl2)、(Z)-1,2-二氯乙烯(顺式C2H2Cl2)、烯丙基氯(C3H5Cl)、1-氯-1-丙炔(C3H3Cl)、氯环丙烷(C3H5Cl)和1,1-二氯环丙烷(C3H4Cl2)。
可以包括其他杂原子,例如磷(P)。含磷前体可包括磷酸盐、膦、卤化磷、有机磷化合物等。非限制性含磷前体包括磷酸三乙酯(PO[OC2H5]3)、磷酸三甲酯(PO[OCH3]3)、亚磷酸三甲酯(P(OCH3)3)、三二甲氨基磷(P[N(CH3)2]3)、三氯化磷(PCl3)、三甲基甲硅烷基膦(P[Si(CH3)3]3)和三氯氧化磷(POCl3)。
底层特性
可以采用本文中的任何方法和前体来提供有用的底层。可以调整底层的组成以包括特定原子。在一个实施方案中,底层包括约0-30原子%的O(例如,1-30%、2-30%或4-30%)、约20-50原子%的H(例如,20-45%、30-50%,或30-45%),和/或30-70原子%的C(例如,30-60%、30-65%或30-68%)。在其他实施方案中,底层包括存在不饱和键(例如,C=C、C≡C和/或C≡N键)。在另外其他的实施方案中,底层具有约0.7至2.9g/cm3的密度。
与对照膜相比,底层的特征可在于增加的蚀刻选择性和/或减少的底切。在其他实施方案中,与对照膜相比,底层的特征可在于减小的线边缘和线宽粗糙度和/或减小的剂量尺寸比。非限制性对照膜包括由饱和烃前体形成、在脉冲偏置内形成和/或在没有掺杂剂的情况下形成的那些膜。在一个实例中,对照膜是由甲烷形成的AHM。在另一实例中,对照膜是用乙炔形成的AHM。
图案化结构
本文的图案化结构(或膜)可包括在硬掩模或衬底的表面上的成像层,以及在成像层下方的底层。在特定实施方案中,底层的存在提供了成像层增加的辐射吸收率和/或图案化性能。
通常,通过层的光子吸收是深度相关的。当同质层或膜暴露于辐射时,与同一层的上部相比,该层的下部暴露于较低剂量的辐射,因为到达该下部的光子较少。因此,为了确保在整个层深度上充分和均匀的暴露,该层必须提供足够的辐射透射。在特定实施方案中,本文所述的底层通过成像层提供增加的辐射吸收。此外,在某些实例中,底层可以有效地生成更多二次电子,从而可以更好地暴露图案化结构的下部。
底层和成像层之一或两者可包括高吸收元素。在一种情况下,底层和成像层都包括高吸收元素,例如对于EUV吸收来说等于或大于1x107 cm2/mol。每个吸收层和成像层中的元素可以相同或不同。在特定实施方案中,增强的粘附力可降低所需的辐射剂量以在成像层和/或底层内提供所需的图案化特征。
成像层可以包括如本文所述的任何有用的抗蚀剂,例如基于金属有机物的抗蚀剂。当所采用的光刻胶材料具有重要的无机组分时,例如它表现出主要是金属氧化物骨架,底层可以有利地是碳基膜。在要图案化的衬底上存在产生显著形貌的器件特征的情况下,底层的另一个重要功能可以是覆盖和平面化现有的形貌,以便随后的图案化步骤可以在具有所有焦点图案区域的平坦表面上执行。对于这种应用,可以使用干法沉积或旋涂技术来施加底层(或多个底层中的至少一个)。该层可以包括具有碳基和氢基组成的各种AHM膜,并且可以掺杂有诸如钨、硼、氮或氟之类的附加元素。
底层和成像层单独或一起可以被认为是膜。在一些实施方案中,膜是辐射敏感膜(例如,EUV敏感膜)。如本文进一步描述的,该膜又可用作EUV抗蚀剂。在特定实施方案中,层或膜可包括可通过辐射(例如,EUV或DUV辐射)去除、裂解或交联的一种或多种配体(例如,EUV不稳定配体)。
前体可用于提供对辐射敏感的可图案化膜(或图案化辐射敏感膜或可光图案化膜)。这种辐射可以包括EUV辐射、DUV辐射或UV辐射,其通过穿过图案化掩模照射而提供,从而是图案化辐射。膜本身可以通过暴露于这种辐射而改变,使得膜是辐射敏感的或光敏的。在特定实施方案中,前体是有机金属化合物,其包括至少一个金属中心。
前体可以具有任何有用数量和类型的配体。在一些实施方案中,配体的特征可在于其在反反应物存在下或在图案化辐射存在下反应的能力。例如,前体可以包括与反反应物反应的配体,其可以在金属中心之间引入连接(例如-O-连接)。在另一实例中,前体可以包括在图案化辐射存在下消除的配体。这种EUV不稳定配体可包括具有β-氢的支链或直链烷基基团,以及本文针对式(I)或(II)中的R所述的任何基团。
前体可以是任何有用的含金属的前体,例如有机金属试剂、金属卤化物或封端剂(例如,如本文所述)。在非限制性实例中,前体包括具有式(I)的结构:
MaRb (I),
其中:
M是具有高EUV吸收截面的金属或原子;
每个R独立地为H、卤素、任选取代的烷基、任选取代的环烷基、任选取代的环烯基、任选取代的烯基、任选取代的炔基、任选取代的烷氧基、任选取代的烷酰氧基、任选取代的芳基、任选取代的氨基、任选取代的双(三烷基甲硅烷基)氨基、任选取代的三烷基甲硅烷基、氧代、阴离子配体、中性配体或多齿配体;
a≥1;并且b≥1
在另一个非限制性实例中,前体包括具有式(II)的结构:
MaRbLc (II),
其中:
M是具有高EUV吸收截面的金属或原子;
每个R独立地为卤素、任选取代的烷基、任选取代的芳基、任选取代的氨基、任选取代的烷氧基或L;
每个L独立地为配体、阴离子配位体、中性配体、多齿配体、离子,或其他与反反应物反应的部分,其中R和L与M一起可任选地形成杂环基基团或其中R和L一起可任选地形成杂环基基团;
a≥1;b≥1;并且c≥1。
在一些实施方案中,前体中的每个配体可以是与反反应物反应的配体。在一个实例中,前体包括具有式(II)的结构,其中每个R独立地为L。在另一实例中,前体包括具有式(IIa)的结构:
Malc (IIa),
其中:
M是具有高EUV吸收截面的金属或原子;
每个L独立地是配体、离子或其他与反反应物反应的部分,其中两个L一起可以任选地形成杂环基基团;
a≥1;并且c≥1。
在式(IIa)的特定实施方案中,a为1。在进一步的实施方案中,c为2、3或4。
对于本文的任何式,M可以是金属或准金属或原子,其具有高图案化辐射吸收截面(例如,等于或大于1x107 cm2/mol的EUV吸收截面)。在一些实施方案中,M是锡(Sn)、铋(Bi)、碲(Te)、铯(Cs)、锑(Sb)、铟(In)、钼(Mo)、铪(Hf)、碘(I)、锆(Zr)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锌(Zn)、银(Ag)、铂(Pt)和铅(Pb)。在进一步的实施方案中,式(I)、(II)或(IIa)中的M是Sn,a是1,并且c是4。在其他实施方案中,式(I)、(II)或(IIa)中的M是Sn,a是1,并且c是2。在特定实施方案中,M为Sn(II)(例如,在式(I)、(II)或(IIa)中),从而提供为基于Sn(II)的化合物的前体。在其他实施方案中,M是Sn(IV)(例如,在式(I)、(II)或(IIa)中),从而提供为基于Sn(IV)的化合物的前体。在特定实施方案中,前体包括碘(例如,如在高碘酸盐中)。
对于本文的任何式,每个R独立地为H、卤素、任选取代的烷基、任选取代的环烷基、任选取代的环烯基、任选取代的烯基、任选取代的炔基、任选取代的烷氧基(例如-OR1,其中R1可以是任选取代的烷基)、任选取代的烷酰氧基、任选取代的芳基、任选取代的氨基、任选取代的双(三烷基甲硅烷基)氨基、任选取代的三烷基甲硅烷基、氧代、阴离子配体(例如,氧化物、氯化物、氢化物、乙酸盐、亚氨基二乙酸盐、丙酸盐,丁酸酯、苯甲酸酯等)、中性配体或多齿配体。
在一些实施方案中,任选取代的氨基是-NR1R2,其中R1和R2中的每一个独立地是H或烷基;或其中R1和R2与各自所连接的氮原子一起形成如本文所定义的杂环基基团。在其他实施方案中,任选取代的双(三烷基甲硅烷基)氨基是-N(SiR1R2R3)2,其中每个R1、R2和R3独立地是任选取代的烷基。在另外其他的实施方案中,任选取代的三烷基甲硅烷基是-SiR1R2R3,其中每个R1、R2和R3独立地是任选取代的烷基。
在其他实施方案中,该式包括第一R(或第一L),其为-NR1R2;和第二R(或第二L),其为-NR1R2,其中R1和R2中的每一个独立地为H或任选取代的烷基;或其中来自第一R(或第一L)的R1和来自第二R(或第二L)的R1与各自连接的氮原子和金属原子一起形成如本文所定义的杂环基基团。在另外其他的实施方案中,该式包括为-OR1的第一R和为-OR1的第二R,其中每个R1独立地为H或任选取代的烷基;或其中来自第一R的R1和来自第二R的R1与各自连接的氧原子和金属原子一起形成如本文所定义的杂环基基团。
在一些实施方案中,R或L(例如,在式(I)、(II)或(IIa)中)中的至少一个是任选取代的烷基。非限制性烷基基团包括例如CnH2n+1,其中n为1、2、3或更大,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基或叔丁基。在各种实施方案中,R或L具有至少一个β-氢或β-氟。在其他实施方案中,R或L中的至少一个是卤代烷基(例如氟代烷基)。
在一些实施方案中,每个R或L或至少一个R或L(例如,在式(I)、(II)或(IIa)中)是卤素。特别地,前体可以是金属卤化物。非限制性金属卤化物包括SnBr4、SnCl4、SnI4和SbCl3
在一些实施方案中,每个R或L或至少一个R或L(例如,在式(I)、(II)或(IIa)中)可包括氮原子。在特定实施方案中,一个或多个R或L可以是任选取代的氨基、任选取代的单烷基氨基(例如-NR1H,其中R1是任选取代的烷基)、任选取代的二烷基氨基(例如-NR1R2,其中R1和R2中的每一个独立地是任选取代的烷基),或任选取代的双(三烷基甲硅烷基)氨基。非限制性的R和L取代基可以包括,例如,-NMe2,-NHMe,-NEt2,-NHEt,-NMeEt,-N(t-Bu)-[CHCH3]2-N(t-Bu)-(tbba),-N(SiMe3)2和-N(SiEt3)2
在一些实施方案中,每个R或L或至少一个R或L(例如,在式(I)、(II)或(IIa)中)可包括硅原子。在特定实施方案中,一个或多个R或L可以是任选取代的三烷基甲硅烷基或任选取代的双(三烷基甲硅烷基)氨基。非限制性的R或L取代基可以包括,例如,-SiMe3,-SiEt3,-N(SiMe3)2和-N(SiEt3)2
在一些实施方案中,每个R或L或至少一个R或L(例如,在式(I)、(II)或(IIa)中)可包括氧原子。在特定实施方案中,一个或多个R或L可以是任选取代的烷氧基或任选取代的烷酰氧基。非限制性R或L取代基包括例如甲氧基、乙氧基、异丙氧基(i-PrO)、叔丁氧基(t-BuO)、乙酸酯(-OC(O)-CH3)和-O=C(CH3)-CH=C(CH3)-O-(acac)。
本文的任何式可包括一种或多种中性配体。非限制性中性配体包括任选取代的胺(例如NR3或R2N-Ak-NR2,其中每个R可以独立地为H、任选取代的烷基、任选取代的烃基或任选取代的芳基,并且Ak是任选取代的亚烷基)、任选取代的膦(例如,PR3或R2P-Ak-PR2,其中每个R可以独立地为H、任选取代的烷基、任选取代的烃基或任选取代的芳基,并且Ak为任选取代的亚烷基),任选取代的醚(例如,OR2,其中每个R可以独立地为H、任选取代的烷基、任选取代的烃基或任选取代的芳基)、任选取代的烷基、任选取代的烯烃、任选取代的炔、任选取代的苯、氧代或一氧化碳。
本文的任何式可包括一个或多个多齿(例如,二齿)配体。非限制性多齿配体包括二酮酸盐(例如乙酰丙酮酸盐(acac)或-OC(R1)-Ak-(R1)CO-or-OC(R1)-C(R2)-(R1)CO-)、双齿螯合二氮(例如,-N(R1)-Ak-N(R1)-或-N(R3)-CR4-CR2=N(R1)-)、芳香族(例如-Ar-)、脒(例如-N(R1)-C(R2)-N(R1)-)、氨基醇盐(例如-N(R1)-Ak-O-or-N(R1)2-Ak-O-)、二氮杂二烯基(例如-N(R1)-C(R2)-C(R2)-N(R1)-)、环戊二烯基、吡唑酯、任选取代的杂环基、任选取代的亚烷基或任选取代的杂亚烷基。在特定实施方案中,每个R1独立地为H、任选取代的烷基、任选取代的卤代烷基或任选取代的芳基;每个R2独立地为H或任选取代的烷基;R3和R4一起形成任选取代的杂环基;Ak是任选取代的亚烷基;并且Ar是任选取代的亚芳基。
在特定实施方案中,前体包括锡。在一些实施方案中,锡前体包括SnR或SnR2或SnR4或R3SnSnR3,其中每个R独立地为H、卤素、任选取代的C1-12烷基、任选取代的C1-12烷氧基、任选取代的氨基(例如-NR1R2)、任选取代的C2-12烯基、任选取代的C2-12炔基、任选取代的C3-8环烷基、任选取代的芳基、环戊二烯基、任选取代的双(三烷基甲硅烷基)氨基(例如-N(SiR1R2R3)2)、任选取代的烷酰氧基(例如,醋酸盐)、二酮酸盐(例如-OC(R1)-Ak-(R2)CO-)或双齿螯合二氮(例如-N(R1)-Ak-N(R1)-)。在特定实施方案中,每个R1、R2和R3独立地为H或C1-12烷基(例如甲基、乙基、异丙基、叔丁基或新戊基);并且Ak是任选取代的C1-6亚烷基。在特定实施方案中,每个R独立地为卤素、任选取代的C1-12烷氧基、任选取代的氨基、任选取代的芳基、环戊二烯基或二酮酸酯。非限制性锡前体包括SnF2、SnH4、SnBr4、SnCl4、SnI4、四甲基锡(SnMe4)、四乙基锡(SnEt4)、三甲基氯化锡(SnMe3Cl)、二甲基二氯化锡(SnMe2Cl2)、甲基三氯化锡(SnMeCl3)、四烯丙基锡、四乙烯基锡、六苯基二锡(IV)(Ph3Sn-SnPh3,其中Ph为苯基)、二丁基二苯基锡(SnBu2Ph2)、三甲基(苯基)锡(SnMe3Ph)、三甲基(苯基乙炔基)锡、三环己基氢化锡、三丁基氢化锡(SnBu3H)),二丁基二乙酸锡(SnBu2(CH3COO)2)、乙酰丙酮锡(II)(Sn(acac)2)、SnBu3(OEt)、SnBu2(OMe)2、SnBu3(OMe)、Sn(t-BuO)4、Sn(n-Bu)(t-BuO)3、四(二甲氨基)锡(Sn(NMe2)4)、四(乙基甲基氨基)锡(Sn(NMeEt)4)、四(二乙氨基)锡(IV)(Sn(NEt2)4)、(二甲氨基)三甲基锡(IV)(Sn(Me)3(NMe2)、Sn(i-Pr)(NMe2)3、Sn(n-Bu)(NMe2)3、Sn(s-Bu)(NMe2)3、Sn(i-Bu)(NMe2)3、Sn(t-Bu)(NMe2)3、Sn(t-Bu)2(NMe2)2、Sn(t-Bu)(NEt2)3、Sn(tbba)、Sn(II)(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡基-2-亚胺)[(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene)],或双[双(三甲基甲硅烷基)氨基]锡(Sn[N(SiMe3)2]2)。
在其他实施方案中,前体包括铋,例如在BiR3中,其中每个R独立地为卤素、任选取代的C1-12烷基、单-C1-12烷基氨基(例如-NR1H)、二-C1-12烷基氨基(例如-NR1R2)、任选取代的芳基、任选取代的双(三烷基甲硅烷基)氨基(例如-N(SiR1R2R3)2)或二酮酸酯(例如-OC(R4)-Ak-(R5)CO-)。在特定实施方案中,每个R1、R2和R3独立地为C1-12烷基(例如甲基、乙基、异丙基、叔丁基或新戊基);并且每个R4和R5独立地为H或任选取代的C1-12烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基)。非限制性铋前体包括BiCl3、BiMe3、BiPh3、Bi(NMe2)3、Bi[N(SiMe3)2]3和Bi(thd)3,其中thd是2,2,6,6-四甲基-3,5-庚二酸酯。
在其他实施方案中,前体包括碲,例如TeR2或TeR4,其中每个R独立地为卤素、任选取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基和新戊基),任选取代的C1-12烷氧基、任选取代的芳基、羟基、氧代或任选取代的三烷基甲硅烷基。非限制性碲前体包括二甲基碲(TeMe2)、二乙基碲(TeEt2)、二(正丁基)碲(Te(n-Bu)2)、二(异丙基)碲(Te(i-Pr)2)、二(叔丁基)碲(Te(t-Bu)2)、叔丁基氢化碲(Te(t-Bu)(H))、Te(OEt)4、双(三甲基甲硅烷基)碲(Te(SiMe3)2)和双(三乙基甲硅烷基)碲(Te(SiEt3)2)。
前体可包括锑,例如在SbR3中,其中每个R独立地为卤素、任选取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基和新戊基)、任选取代的C1-12烷氧基,或任选取代的氨基(例如,-NR1R2,其中R1和R2中的每一个独立地为H或任选取代的C1-12烷基)。非限制性锑前体包括SbCl3、Sb(OEt)3、Sb(On-Bu)3和Sb(NMe2)3
其他前体包括铟前体,例如在InR3中,其中每个R独立地为卤素、任选取代的C1-12烷基(例如,甲基、乙基、异丙基、叔丁基和新戊基)或二酮(例如,-OC(R4)-Ak-(R5)CO-,其中每个R4和R5独立地是H或C1-12烷基)。非限制性铟前体包括InCp,其中Cp是环戊二烯基、InCl3、InMe3、In(acac)3、In(CF3COCHCOCH3)3和In(thd)3
前体可以包括碘,例如RI,其中R是碘(I)或任选取代的C1-12烷基,或高碘酸盐。非限制性碘前体包括碘气(I2)、二碘甲烷(CH2I2)和高碘酸盐。
本文描述了另外其他的前体和非限制性取代基。例如,前体可以是任何具有如上所述的式(I)、(II)和(IIa)或如下所述的式(III)、(IV)、(V)、(VI)、(VII)或(VIII)的结构的前体。如本文所述的任何取代基M、R、X或L可用于任何式(I)、(II)、(IIa)、(III)、(IV)、(V)、(VI)、(VII)或(VIII)。
另外其他的示例性EUV敏感材料以及处理方法和设备在美国专利号9,996,004;国际专利公开号WO 2020/102085;和国际专利公开号WO 2019/217749中有描述,其各自通过引用以其整体并入本文。
如本文所述,本文的膜、层和方法可以与任何有用的前体一起采用。在一些实例中,前体包括具有下式(III)的金属卤化物:
MXn (III),
其中M是金属,X是卤素,并且n是2至4,具体取决于M的选择。M的示例性金属包括Sn、Te、Bi或Sb。示例性金属卤化物包括SnBr4、SnCl4、SnI4和SbCl3
另一种非限制性前体包括具有式(IV)的结构:
MRn (IV),
其中M是金属;每个R独立地是H、任选取代的烷基、氨基(例如-NR2,,其中每个R独立地是烷基)、任选取代的双(三烷基甲硅烷基)氨基(例如-N(SiR3)2,其中每个R独立地是烷基),或任选取代的三烷基甲硅烷基(例如,-SiR3,其中每个R独立地为烷基);或者n为2至4,具体取决于M的选择。M的示例性金属包括Sn、Te、Bi或Sb。烷基基团可以是CnH2n+1,其中n为1、2、3或更大。示例性有机金属试剂包括SnMe4、SnEt4、TeRn、RTeR、叔丁基氢化碲(Te(t-Bu)(H))、二甲基碲(TeMe2)、二(叔丁基)碲(Te(t-Bu)2)、二(异丙基)碲(Te(i-Pr)2)、双(三甲基甲硅烷基)碲(Te(SiMe3)2)、双(三乙基甲硅烷基)碲(Te(SiEt3)2)、三(双(三甲基甲硅烷基)酰胺基)铋(Bi[N(SiMe3)2]3)、Sb(NMe2)3等。
另一种非限制性前体可包括具有下式(V)的封端剂:
MLn (V),
其中M是金属;每个L独立地为任选取代的烷基、氨基(例如,-NR1R2,其中R1和R2中的每一个可以是H或烷基,如本文所述的任何)、烷氧基(例如,-OR,其中R是烷基,如本文所述的任何)、卤素或其他有机取代基;并且n为2至4,具体取决于M的选择。M的示例性金属包括Sn、Te、Bi或Sb。示例性配体包括二烷基氨基(例如,二甲基氨基、甲基乙基氨基和二乙基氨基)、烷氧基(例如,叔丁氧基和异丙氧基)、卤素(例如,F、Cl、Br和I)或其他有机取代基(例如,乙酰丙酮或N2,N3-二-叔丁基-丁烷-2,3-二氨基)。非限制性封端剂包括SnCl4;SnI4;Sn(NR2)4,其中每个R独立地是甲基或乙基;或Sn(t-BuO)4。在一些实施方案中,存在多种类型的配体。
前体可以包括具有下式(VI)的烃基取代的封端剂:
RnMXm (VI),
其中M是金属,R是C2-10烷基或具有β-氢的取代烷基,并且X是与暴露羟基基团的羟基基团反应的合适的离去基团。在各种实施方案中,n=1至3,并且m=4–n、3–n或2–n,只要m>0(或m≥1)即可。例如,R可以是叔丁基、叔戊基、叔己基、环己基、异丙基、异丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有杂原子取代基的衍生物。合适的杂原子包括卤素(F、Cl、Br或I)或氧(-OH或-OR)。X可以是二烷基氨基(例如二甲基氨基、甲基乙基氨基或二乙基氨基)、烷氧基(例如,叔丁氧基、异丙氧基)、卤素(例如F、Cl、Br或I)或另一种有机配体。烃基取代的封端剂的示例包括叔丁基三(二甲氨基)锡(Sn(t-Bu)(NMe2)3)、正丁基三(二甲氨基)锡(Sn(n-Bu)(NMe2)3)、叔丁基三(二乙基氨基)锡(Sn(t-Bu)(NEt2)3)、二(叔丁基)二(二甲基氨基)锡(Sn(t-Bu)2(NMe2)2)、仲丁基三(二甲基氨基)锡(Sn(s-Bu)(NMe2)3)、正戊基三(二甲氨基)锡(Sn(n-pentyl)(NMe2)3)、异丁基三(二甲氨基)锡(Sn(i-Bu)(NMe2)3)、异丙基三(二甲氨基)锡(Sn(i-Pr)(NMe2)3)、叔丁基三(叔丁氧基)锡(Sn(t-Bu)(t-BuO)3)、正丁基(三(叔丁氧基)锡(Sn(n-Bu)(t-BuO)3),或异丙基三(叔丁氧基)锡(Sn(i-Pr)(t-BuO)3)。
在各种实施方案中,前体在可以经受住气相反应的每个金属原子上包括至少一个烷基基团,而与金属原子配位的其他配体或离子可以被反反应物替代。因此,另一种非限制性前体包括具有式(VII)的有机金属试剂:
MaRbLc (VII),
其中M是金属;R是任选取代的烷基;L是配体、离子或其他与反反应物反应的部分;a≥1;b≥1;并且c≥1。在特定实施方案中,a=1,并且b+c=4。在一些实施方案中,M是Sn、Te、Bi或Sb。在特定实施方案中,每个L独立地是氨基(例如-NR1R2,其中R1和R2中的每一个可以是H或烷基,例如本文所述的任何)、烷氧基(例如,-OR,其中R是烷基,例如本文所述的任何)或卤素(例如F、Cl、Br或I)。示例性试剂包括SnMe3Cl、SnMe2Cl2、SnMeCl3、SnMe(NMe2)3、SnMe2(NMe2)2、SnMe3(NMe2)等。
在其他实施方案中,非限制性前体包括具有式(VIII)的有机金属试剂:
Malc (VIII),
其中M是金属;L是配体、离子或其他与反反应物反应的部分;a≥1;并且c≥1。在特定实施方案中,c=n-1,并且n为2、3或4。在一些实施方案中,M为Sn、Te、Bi或Sb。逆反应剂优选具有取代反应性部分配体或离子(例如,本文式中的L)以经由化学键连接至少两个金属原子的能力。
在本文的任何实施方案中,R可以是任选取代的烷基(例如,C1-10烷基)。在一个实施方案中,烷基被一个或多个卤素取代(例如,卤素取代的C1-10烷基,包括一个、两个、三个、四个或更多个卤素,例如F、Cl、Br或I)。示例性的R取代基包括CnH2n+1,优选其中n≥3;和CnFxH(2n+1-x),其中2n+1≤x≤1。在各种实施方案中,R具有至少一个β-氢或β-氟。例如,R可以选自由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基及其混合物组成的组。
在本文的任何实施方案中,L可以是容易被反反应物置换以生成M-OH部分的任何部分,例如选自由氨基(例如-NR1R2,其中R1和R2中的每一个可以是H或烷基,例如本文所述的任何)、烷氧基(例如-OR,其中R是烷基,例如本文所述的任何)、羧酸盐、卤素(例如F、Cl、Br或I)及其混合物组成的组。
逆反应剂优选具有取代反应性部分、配体或离子(例如本文式中的L)以便经由化学结合连接至少两个金属原子的能力。示例性逆反应剂包括含氧逆反应剂,例如氧(O2)、臭氧(O3)、水、过氧化物(例如过氧化氢)、氧等离子体、水等离子体、醇类、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇、甲酸和其他羟基部分来源及其组合。在各种实施方案中,反反应物通过在相邻金属原子之间形成氧桥而与前体反应。其他潜在的反反应物包括硫化氢和二硫化氢,其可以经由硫桥和双(三甲基甲硅烷基)碲交联金属原子,其可以经由碲桥交联金属原子。此外,碘化氢可用于将碘结合到膜中。
另外其他的非限制性反反应物包括具有式ZR2的硫族属化物前体,其中:Z是硫、硒或碲;并且每个R独立地是H、任选取代的烷基(例如甲基、乙基、正丙基、异丙基、正丁基、叔丁基等)、任选取代的烯基、任选取代的芳基、任选取代的氨基、任选取代的烷氧基,或任选取代的三烷基甲硅烷基。
示例性有机金属试剂包括SnMeCl3、(N2,N3-二-叔丁基-丁烷-2,3-二氨基)锡(II)(Sn(tbba))、双(双(三甲基甲硅烷基)酰胺基)锡(II))、四(二甲氨基)锡(IV)(Sn(NMe2)4)、叔丁基三(二甲氨基)锡(Sn(叔丁基)(NMe2)3)、异丁基三(二甲氨基)锡(Sn(i-Bu)(NMe2)3)、正丁基三(二甲氨基)锡(Sn(n-Bu)(NMe2)3)、仲丁基三(二甲氨基)锡(Sn(s-Bu)(NMe2)3)、异丙基(三)二甲基氨基锡(Sn(i-Pr)(NMe2)3)、正丙基三(二乙基氨基)锡(Sn(n-Pr)(NEt2)3)和类似的烷基(三)(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡(Sn(t-Bu)(t-BuO)3)。在一些实施方案中,有机金属试剂是部分氟化的。
在一些实施方案中,图案化结构可包括包含暴露的羟基基团或羟基封端的SnOx的表面层或膜。在不限制本技术的机制、功能或效用的情况下,据信羟基封端的SnOx层可以提供益处,例如改进沉积在衬底表面上的材料的粘附力并增强图案化期间EUV(或其他辐射)的吸收度。对EUV或其他辐射的敏感性和分辨率可取决于SnOx层的特性,例如厚度、密度和短程电荷转移特性。在各种实施方案中,SnOx层具有0.1nm至20nm,或0.2nm至10nm,或0.5nm至5nm的厚度。
在一些实施方案中,羟基封端的SnOx层通过气相沉积而沉积在衬底的表面上。在这种方法中,沉积包括使Sn-Xn与含氧反反应物反应,其中X是配体,例如二烷基氨基(例如,二甲氨基、甲乙氨基和二乙氨基)、醇(例如,叔丁氧基和异丙氧基))、卤素(例如F、Cl、Br和I)或其他有机取代基(例如,乙酰丙酮、N2,N3-二-叔丁基-丁烷-2,3-二氨基)。例如,Sn-Xn可以是SnCl4、SnI4或Sn(NR2)4,其中R是甲基或乙基,或Sn(t-BuO)4。在一些实施方案中,存在多种类型的配体。含氧反反应物可选自由水、过氧化氢、甲酸、醇、氧气、臭氧及其组合成的组。
合适的气相沉积工艺包括化学气相沉积(CVD)、原子层沉积(ALD)、等离子体增强化学气相沉积(PECVD)或等离子体增强原子层沉积(PEALD)。在一些实施方案中,在沉积Sn-Xn和沉积含氧反反应物的循环过程中,沉积是ALD。在一些实施方案中,通过同时流动Sn-Xn和含氧反反应物,沉积是CVD。Nazarov等人,Atomic Layer Deposition of Tin DioxideNanofilms:A Review,40Rev.Adv.Mater.Sci.262(2015)中描述了本文中可用于沉积SnOx层的材料和工艺。SnOx衬底可以通过如本文所述的CVD或ALD工艺沉积。
表面活化操作可用于活化表面以用于将来的操作。例如,对于SiOx表面,可以使用水或氧/氢等离子体在表面上产生羟基基团。对于碳基或烃基表面,水、氢/氧或CO2等离子体或臭氧处理可用于产生羧酸/或羟基基团。这种方法可以证明对于改进抗蚀剂特征与衬底的粘附性至关重要,否则衬底可能会在用于显影的溶剂中分层或剥离。
还可以通过在衬底表面中引起粗糙度以增加可用于相互作用的表面积以及直接改进机械粘附力来增强粘附力。例如,首先使用Ar或其他非反应性离子轰击的溅射工艺可以用来产生粗糙表面。然后,表面可以用如上所述的所需表面官能度(例如羟基和/或羧酸基团)封端。在碳上,可以采用组合方法,其中可以使用化学反应性含氧等离子体,例如CO2、O2、H2O(或H2和O2的混合物)蚀刻掉具有局部不均匀性的膜的薄层,同时以-OH、-OOH或-COOH基团封端。这可以在有或没有偏置的情况下完成。结合上面提到的表面改性策略,这种方法可以起到衬底表面的表面粗糙化和化学活化的双重目的,既可以直接粘附到基于无机金属氧化物的抗蚀剂上,也可以作为中间表面改性以进一步官能化。
图案化结构可以包括任何有用的衬底。例如,可以用所需材料的衬底表面制备传入晶片,其中最上面的材料是其中转移有抗蚀剂图案的层。虽然材料选择可根据集成度而变化,但通常希望选择能够以对EUV抗蚀剂或成像层的高选择性(即较快地)蚀刻的材料。在一些实施方案中,衬底是硬掩模,其用于底层半导体材料的光刻蚀刻。硬掩模可以包括多种材料中的任一种,包括无定形碳(a-C)、氧化锡(例如SnOx)、氧化硅(例如SiOx,包括SiO2)、氧氮化硅(例如SiOxNy)、氧碳化硅(例如,SiOxCy)、氮化硅(例如,Si3N4)、氧化钛(例如,TiO2)、氮化钛(例如,TiN)、钨(例如,W)、掺杂碳(例如,W掺杂的C)、氧化钨(例如,WOx)、氧化铪(例如HfO2)、氧化锆(例如ZrO2)和氧化铝(例如Al2O3)。合适的衬底材料可包括各种碳基膜(例如可灰化硬掩模(AHM)、硅基膜(例如SiOx,SiCx,SiOxCy,SiOxNy,SiOxCyNz)、a-Si:H、多晶硅或SiN),或施加以促进图案化过程的任何其他(通常是牺牲性的)膜)。例如,衬底可以优选地包括SnOx,例如SnO2。在各种实施方案中,该层可以为1nm至100nm厚,或2nm至10nm厚。
在各种实施方案中,表面(例如,衬底和/或膜的表面)在其表面上包含暴露的羟基。通常,该表面可以是任何包含或已经被处理以产生暴露的羟基表面的表面。通过使用氧等离子体、水等离子体或臭氧对衬底进行表面处理,可以在表面上形成这种羟基基团。在其他实施方案中,膜的表面可以被处理以提供暴露的羟基基团,在其上可以施加封端层。在各种实施方案中,羟基封端的金属氧化物层具有0.1nm至20nm,或0.2nm至10nm,或0.5nm至5nm的厚度。
本文公开的实施方式描述了材料在诸如晶片、衬底或其他工件等衬底上的沉积。工件可以是各种形状、尺寸和材料。在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员将理解,术语“部分制造的集成电路”可以指在其上的集成电路制造的许多阶段中的任何阶段期间的硅晶片。半导体器件行业中使用的晶片或衬底通常具有200mm、300mm或450mm的直径。除非另有说明,本文所述的处理细节(例如,流速、功率水平等)与处理300mm直径的衬底或配置为处理300mm直径衬底的处理室相关,并且可以适当缩放用于其他尺寸的衬底或室。除了半导体晶片之外,可用于本文公开的实施方式的其他工件包括各种制品,例如印刷电路板等。该工艺和设备可用于制造半导体器件、显示器等。
光刻工艺
EUV光刻术利用EUV抗蚀剂,其可以是通过基于液体的旋涂技术生产的基于聚合物的化学放大型抗蚀剂或通过干法气相沉积技术生产的基于金属氧化物的抗蚀剂。这种EUV抗蚀剂可包括本文所述的任何EUV敏感膜或材料。光刻方法可包括图案化抗蚀剂,例如通过用EUV辐射对EUV抗蚀剂进行暴露以形成光图案,随后通过根据光图案去除抗蚀剂的一部分以形成掩模来显影图案进行该图案化。
还应当理解,虽然本公开涉及光刻图案化技术和以EUV光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm EUV波长的EUV以外,与这种光刻术最相关的辐射源是DUV(深紫外),其一般指使用248nm或193nm准分子激光源;X射线,其正式包括X射线范围的较低能量范围内的EUV;以及电子束,其可以覆盖很宽的能量范围。这种方法包括其中衬底(例如,任选地具有暴露的羟基基团的衬底)与前体(例如,本文所述的任何前体)接触以形成金属氧化物(例如,包括金属氧化物键网络的层,其可以包括其他非金属和非氧基团)膜作为衬底表面上的成像/PR层的那些方法。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本申请中描述的方法仅仅是可用于本技术的方法和材料的示例。在一些实施方案中,光刻术包括使用具有在10nm和400nm之间的波长的辐射源。
可直接光图案化的EUV抗蚀剂可由金属和/或金属氧化物组成或包含金属和/或金属氧化物。金属/金属氧化物非常有前途,因为它们可以增强EUV光子吸收度并生成二次电子和/或显示出对下面的膜堆叠和器件层的蚀刻选择性增加。迄今为止,这些抗蚀剂是使用湿(溶剂)方法显影的,这需要晶片移动到轨道,在那里抗蚀剂暴露于显影溶剂、干燥和烘烤。湿显影不仅会限制生产率,而且由于在精细特征之间的溶剂蒸发期间的表面张力效应,还可能导致线塌陷。
已经提出干显影技术以通过消除衬底分层和界面失效来克服这些问题。干显影有其自身的挑战,包括未暴露和EUV暴露的抗蚀剂材料之间的蚀刻选择性,这可能导致与湿显影相比,对有效抗蚀剂暴露的剂量尺寸比要求更高。由于在蚀刻气体下暴露时间较长,次优选择性也会导致PR角变圆,这可能增加后续传送蚀刻步骤中的线CD变化。在光刻期间采用的附加工艺在下面进行详细描述。
沉积工艺,包括干法沉积
如本文所讨论的,本公开提供用于在可使用EUV或其他下一代光刻技术图案化的半导体衬底上制造底层和成像层的方法。在一些实施方案中,干法沉积可采用任何有用的前体(例如,烃前体、掺杂剂前体、金属卤化物、封端剂或本文所述的有机金属试剂)来提供底层和成像层。方法包括在蒸汽中生产聚合的有机金属材料并将其沉积在底层之上的那些方法。在其他实施方案中,可以使用旋涂配方。沉积工艺可包括应用EUV敏感材料作为抗蚀剂膜或EUV敏感膜。
这种EUV敏感膜包含在暴露于EUV时发生变化的材料,例如在低密度的富含M-OH的材料中与金属原子结合的庞大悬垂配体的损失,从而允许它们交联成更致密的M-O-M结合的金属氧化物材料。在其他实施方案中,EUV暴露导致与金属原子结合的配体之间的进一步交联,从而提供更致密的M-L-M结合的有机金属材料,其中L是配体。在另外其他的实施方案中,EUV暴露导致配体损失以提供可被正性显影剂去除的M-OH材料。
通过EUV图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续处理中加以利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续处理的条件下,未暴露的膜具有疏水表面,并且暴露的膜具有亲水表面(应认识到暴露区域和未暴露区域的亲水特性彼此相关)。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如本文进一步描述的那样,去除可以通过湿法处理或干法处理进行。
形成在衬底表面上的可EUV图案化膜的厚度可根据表面特性、使用的材料和处理条件而变化。在各种实施方案中,膜厚度可以在约0.5nm至约100nm的范围内。优选地,该膜具有足够的厚度以在EUV图案化的条件下吸收大部分EUV光。例如,抗蚀剂膜的总吸收度可以是30%或更少(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与本领域的湿旋涂工艺不同,本公开的工艺对衬底的表面粘附性能具有较少限制,因此可应用于多种衬底。此外,如上文所讨论,沉积膜可与表面特征紧密贴合,从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下方特征的衬底之类的衬底上方形成掩模方面提供优势。
膜(例如,底层和/或成像层)可由以任何有用方式沉积的金属氧化物层组成。可以通过使用本文所述的任何EUV敏感材料,例如前体(例如,含金属的前体、金属卤化物、封端剂或有机金属剂)与反反应物组合来沉积或施加这种金属氧化物层。在示例性工艺中,聚合的有机金属材料以气相或原位形成在衬底的表面上以提供金属氧化物层。金属氧化物层可用作膜、粘附层或封端层。
任选地,金属氧化物层可以包括羟基封端的金属氧化物层,其可以通过采用封端剂(例如,本文所述的任何一种)与含氧的反反应物来沉积。这种羟基封端的金属氧化物层可以用作例如两个其他层之间(例如衬底和膜之间和/或光刻胶层和底层之间)的粘合层。
示例性沉积技术(例如,用于膜、底层或成像层的沉积技术)包括本文所述的任何技术,例如ALD(例如,热ALD和等离子体增强ALD)、旋涂沉积、PVD(包括PVD共溅射)、CVD(例如PE-CVD或LP-CVD)、溅射沉积、包括电子束共蒸发的电子束沉积等,或其组合,例如CVD组分与ALD的组合,例如不连续的类似ALD的过程,其中前体和反反应物在时间或空间上分离。
适用于本公开的前体以及将其沉积为EUV光刻胶膜的方法的进一步描述可以在于2019年5月9日提交并且标题为METHODS FOR MAKING EUV PATTERNABLE HARD MASKS的国际申请号PCT/US19/31618(以国际公开号WO2019/217749公开)中找到。除了前体和反反应物之外,膜还可以包括任选的材料以改变膜的化学或物理性质,例如改变膜对EUV的敏感性或增强抗蚀刻性。可以在沉积在衬底上之前、在沉积膜之后或在沉积在衬底上之前且沉积膜之后,在气相形成期间例如通过掺杂来引入这种任选的材料。在一些实施方案中,可以引入温和的远程H2等离子体以便例如用Sn-H取代一些Sn-L键,这可以增加抗蚀剂在EUV下的反应性。
一般而言,方法可包括将前体(例如,含金属的前体,例如有机金属试剂)的蒸汽流与反反应物的任选蒸汽流混合以便形成聚合的有机金属材料,以及将有机金属材料沉积到半导体衬底的表面上。在一些实施方案中,将前体和任选的反反应物混合可形成聚合的有机金属材料。如本领域普通技术人员将理解的,工艺的混合和沉积方面可以在基本上连续的过程中同时进行。
在示例性的连续CVD工艺中,将前体和任选的反反应物源的在分开的入口路径中的两个或多个气流引入CVD设备的沉积室,在那里它们在气相中混合和反应,以在衬底上形成(例如,经由金属-氧-金属键形成)附聚的聚合物材料或膜。例如,可以使用分开的注入入口或双增压喷头引入气流。该设备被配置为使得前体和任选的反反应物流在室中混合,从而允许前体和任选的反反应物反应以形成聚合的有机金属材料或膜(例如,金属氧化物涂层或附聚的聚合物材料,例如经由金属-氧-金属键形成)。
为了沉积金属氧化物,CVD工艺通常在例如0.1Torr到10Torr的减压下进行。在一些实施方案中,工艺在1Torr至2Torr的压力下进行。衬底的温度优选低于反应物流的温度。例如,衬底温度可以是从0℃到250℃,或者从环境温度(例如,23℃)到150℃。
为了沉积附聚的聚合物材料,CVD工艺通常在减压(例如10mTorr到10Torr)下进行。在一些实施方案中,该工艺在0.5至2Torr下进行。衬底的温度优选等于或低于反应物流的温度。例如,衬底温度可以是0℃到250℃,或者从环境温度(例如,23℃)到150℃。在各种工艺中,聚合的有机金属材料在衬底上的沉积以与表面温度成反比的速率发生。在不限制本技术的机理、功能或效用的情况下,据信来自这种气相反应的产物的分子量随着金属原子被反反应物交联而变得更重,然后缩合或以其他方式沉积到衬底上。在各种实施方案中,庞大烷基基团的空间位阻进一步防止形成密集堆积的网络并产生具有增加的孔隙率的低密度膜。
使用干法沉积方法的潜在优点是随着膜的生长易于调整膜的组成。在CVD工艺中,这可以通过在沉积期间改变第一前体和第二前体的相对流量来实现。沉积可以在30℃和200℃之间在0.01Torr到100Torr之间但更通常在约0.1Torr到10Torr之间的压强下发生。
膜(例如,金属氧化物涂层或附聚的聚合材料,例如经由金属-氧-金属键形成)也可以通过ALD工艺沉积。例如,前体和任选的反反应物在不同的时间引入,从而表示一个ALD循环。前体在表面反应,针对每个循环一次形成单层材料。这可以允许对跨表面的膜厚度均匀性的极好控制。ALD工艺通常在减压(例如0.1Torr到10Torr)下进行。在一些实施方案中,该工艺在1Torr至2Torr下进行。衬底温度可为0℃至250℃,或环境温度(例如23℃)至150℃。该工艺可以是热过程,或者优选为等离子体辅助沉积。
本文的任何沉积方法可以经修改以允许使用两种或更多种不同的前体。在一个实施方案中,前体可以包括相同的金属但包括不同的配体。在另一个实施方案中,前体可以包括不同的金属基团。在一个非限制性实例中,各种挥发性前体的交替流动可以提供混合的含金属层,例如使用具有第一金属(例如,Sn)的金属醇化物前体和具有不同第二金属(例如,Te)的基于甲硅烷基的前体。
本文的方法可用于实现表面改性。在一些迭代中,前体的蒸汽可以通过晶片。可以加热晶片以为反应进行提供热能。在一些迭代中,加热可以在约50℃至约250℃之间。在一些情况下,可以使用前体脉冲,通过泵和/或吹扫步骤分开。例如,第一前体可在第二前体脉冲的脉冲之间进行脉冲,从而导致ALD或类ALD生长。在其他情况下,两种前体可以同时流动。可用于表面改性的元素的示例包括I、F、Sn、Bi、Sb、Te和这些化合物的氧化物或合金。
本文的工艺可用于通过ALD或CVD沉积薄金属氧化物或金属。示例包括氧化锡(SnOx)、氧化铋(BiOx)和Te。如本文别处所述的那样,在沉积之后可以用形式为MaRbLc的烷基取代的前体将膜封端。可以使用反反应物来更好地去除配体,并且可以重复多个循环以确保衬底表面的完全饱和。然后该表面可以为要沉积的EUV敏感膜做好准备。一种可能的方法是生产SnOx的薄膜。可能的化学过程包括通过循环四(二甲氨基)锡和反反应物(如水或O2等离子体)来生长SnO2。生长后,可以使用封端剂。例如,异丙基三(二甲氨基)锡蒸汽可以流过表面。
可以在任何有用的表面上采用沉积工艺。如本文所指,“表面”是本技术的膜将被沉积到其上或将在处理期间暴露于EUV的表面。这种表面可以存在于衬底上(例如,将在其上沉积膜)、膜上(例如,可以在其上沉积封端层)、硬掩模上或下层上。
可以采用任何有用的衬底,包括适用于光刻处理的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,衬底是硅晶片。衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“底层形貌特征”)。
这种底层形貌特征可以包括在进行该技术的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先处理可包括该技术的方法或迭代工艺(通过该迭代工艺在衬底上形成两个或更多个特征层)中的其他处理方法。在不限制本技术的机制、功能或效用的情况下,据信在一些实施方案中,本技术的方法提供相对于本领域已知的使用旋转浇铸方法将光刻膜沉积在衬底表面上的方法的优势。这种优势可源自本技术的膜与下层特征的一致性而无需“填充”或以其他方式平坦化这种特征,以及在多种材料表面上沉积膜的能力。
EUV暴露工艺
膜的EUV暴露可以提供具有包括金属原子(M)的活化反应中心的EUV暴露区域,其由EUV介导的裂解事件产生。这种反应中心可包括悬空金属键、M-H基团、裂解的M-配体基团、二聚M-M键或M-O-M桥。
EUV暴露在真空环境中可具有在约10nm至约20nm范围内的波长,例如10nm至15nm,例如13.5nm的波长。特别地,图案化可以提供EUV暴露区域和EUV未暴露区域以形成图案。
本技术可包括使用EUV以及DUV或电子束的图案化。在这种图案化中,辐射聚焦在成像层的一个或多个区域上。典型地进行暴露使得成像层膜包括一个或多个未暴露于辐射的区域。所得成像层可以包括多个暴露和未暴露区域,从而产生与半导体器件的晶体管或其他特征的产生一致的图案,其通过在衬底的后续处理中从衬底添加或去除材料而形成。此处有用的EUV、DUV和电子束辐射方法和设备包括本领域已知的方法和设备。
在一些EUV光刻技术中,有机硬掩模(例如,PECVD无定形氢化碳的可灰化硬掩模)使用常规光刻胶工艺进行图案化。在光刻胶暴露期间,EUV辐射被吸收在光刻胶和下面的衬底中,从而产生高能光电子(例如,约100eV),进而产生横向扩散几个纳米的一连串低能二次电子(例如,约10eV)。这些电子增加了抗蚀剂中化学反应的程度,这增加了其EUV剂量敏感性。然而,本质上随机的二次电子图案叠加在光学图像上。这种不需要的二次电子暴露导致图案化抗蚀剂中的分辨率的损失、可观察到的线边缘粗糙度(LER)和线宽变化。在随后的图案传送蚀刻期间,这些缺陷在要图案化的材料中复制。
本文公开了真空集成金属硬掩模工艺和相关真空集成硬件,其将膜形成(沉积/冷凝)和光学光刻术组合,结果极大地改进了EUV光刻(EUVL)性能—例如降低的线边缘粗糙度。
在本文所述的各种实施方案中,可使用沉积(例如,冷凝)工艺(例如,在PECVD工具,例如Lam
Figure BDA0003261355850000551
中进行的ALD或MOCVD)来形成含金属的膜(例如光敏金属盐)或含金属的有机化合物(有机金属化合物)的薄膜,其在EUV中具有强吸收度(例如,在10nm至20nm量级的波长处),例如在EUVL光源的波长下(例如,13.5nm=91.8eV)。该膜在EUV暴露时发生光分解并在(例如,在导体蚀刻工具,如Lam/>
Figure BDA0003261355850000552
中进行)后续蚀刻期间形成作为图案转移层的金属掩模。
在沉积之后,通过暴露于EUV光束,通常在相对高的真空下,使可EUV图案化薄膜图案化。对于EUV暴露,然后可以将含金属的膜沉积在与光刻平台(例如,晶片步进机,例如由荷兰Veldhoven的ASML提供的TWINSCAN NXE:
Figure BDA0003261355850000553
平台)集成的室中,并在真空下传送,以便在暴露前不要反应。由于环境气体(如H2O、O2等)对入射光子的强光吸收,EUVL还需要大大降低的压力,这个事实促进了与光刻工具的集成。在其他实施方案中,光敏金属膜沉积和EUV暴露可以在同一个室内进行。
显影(包括干显影)过程
EUV暴露或未暴露区域可以通过任何有用的显影过程去除。在一个实施方案中,EUV暴露区域可具有活化的反应中心,例如悬空金属键、M-H基团或二聚化M-M键。在特定实施方案中,M-H基团可通过采用一种或多种干显影工艺(例如卤化物化学过程)选择性地去除。在其他实施方案中,M-M键可通过采用湿显影工艺(例如使用热乙醇和水以提供可溶性M(OH)n基团)选择性去除。在另外其他的实施方案中,通过使用湿显影(例如,通过使用正性显影剂)去除EUV暴露区域。在一些实施方案中,通过使用干显影去除EUV未暴露区域。
干显影工艺可以包括使用卤化物,例如基于HCl或HBr的工艺。虽然本公开不限于任何特定的理论或操作机制,但该方法被理解为用清洁化学物质(例如,HCl、HBr和BCl3)平衡干法沉积的EUV光刻胶膜的化学反应性以使用蒸汽或等离子体形成挥发性产物。可以以高达1nm/s的蚀刻速率去除干法沉积的EUV光刻胶膜。通过这些化学物质快速去除干法沉积的EUV光刻胶膜适用于室清洁、背面清洁、斜面清洁和PR显影。尽管可以使用处于不同温度的蒸汽(例如,处于高于-10℃温度的HCl或HBr,或例如处于高于80℃的温度的BCl3)去除膜,但也可以使用等离子体来进一步加速或增强反应性。
等离子体工艺包括变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP),采用本领域已知的那些设备和技术。例如,工艺可以在>0.5mTorr(例如,例如1mTorr到100mTorr)的压强下,在<1000W(例如,<500W)的功率水平下进行。温度可为30℃至300℃(例如,30℃至120℃),流速为每分钟100至1000标准立方厘米(sccm),例如约500sccm,持续1至3000秒(例如,10秒到600秒)。
在卤化物反应物流为氢气和卤化物气体的情况下,使用远程等离子体/UV辐射从H2和Cl2和/或Br2生成自由基,并且氢气和卤化物自由基流入反应室以接触晶片的衬底层上的图案化EUV光刻胶。在没有偏置的情况下,合适的等离子体功率范围可以从100W到500W。应当理解,虽然这些条件适用于一些处理反应器,例如,可从加利福尼亚州弗里蒙特的LamResearch Corporation获得的Kiyo蚀刻工具,但根据处理反应器的能力可以使用更广泛的处理条件。
在热显影工艺中,在真空室(例如,烘箱)中将衬底暴露于干显影化学物质(例如,路易斯酸)。合适的室可以包括真空管线、干显影卤化氢化学气体(例如,HBr、HCl)管线和用于温度控制的加热器。在一些实施方案中,室内部可以涂覆有耐腐蚀膜,例如有机聚合物或无机涂层。一种这样的涂层是聚四氟乙烯((PTFE),例如TeflonTM)。这种材料可用于本公开的热处理中而没有被等离子体暴露去除的风险。
用于干显影的处理条件可以是反应物流量为100sccm至500sccm(例如500sccm的HBr或HCl),温度为-10℃至120℃(例如-10℃)、压强为1mTorr至500mTorr(例如,300mTorr)且无等离子体且持续约10秒至1分钟的时间,具体取决于光刻胶膜及其组成和性质。
在各种实施方案中,本公开的方法组合了膜沉积、通过气相沉积形成、(EUV)光刻光图案化和干显影的所有干式工艺。在这种过程中,衬底可以在EUV扫描仪中进行光图案化之后直接进入干显影/蚀刻室。这种过程可以避免与湿显影相关的材料和生产力成本。干式工艺还可以提供更多的可调性,并提供进一步的CD控制和/或浮渣去除。
在各种实施方案中,包含一定量的金属、金属氧化物和有机组分的EUV光刻胶可以通过热、等离子体(例如,可能包括光活化等离子体,例如灯加热或UV灯加热)或热和等离子体方法的组合,同时流动包括式RxZy(其中R=B、Al、Si、C、S、SO,其中x>0且Z=Cl、H、Br、F、CH4和y>0)化合物的干燥显影气体进行干显影。干显影可产生正性,其中RxZy物质选择性地去除暴露的材料,从而留下未暴露的对应物作为掩模。在一些实施方案中,根据本公开通过干显影去除有机锡氧化物基光刻胶膜的暴露部分。正性干显影可以通过暴露于包含卤化氢或氢和卤化物(包括HCl和/或HBr而不撞击等离子体)的流,或H2和Cl2和/或Br2的流(其具有远程等离子体或从等离子体生成的UV辐射以生成自由基)的EUV暴露区域的选择性干显影(去除)来实现。
也可以采用湿显影方法。在特定实施方案中,这种湿显影方法用于去除EUV暴露区域以提供正性光刻胶或负性光刻胶。示例性的非限制性的湿显影可包括使用碱性显影剂(例如,水性碱性显影剂),例如包括以下的那些显影剂:铵,例如氢氧化铵(NH4OH);铵基离子液体,例如四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)或其他季烷基氢氧化铵;有机胺,例如单-、二-和三-有机胺(例如,二甲胺、二乙胺、乙二胺、三亚乙基四胺);或链烷醇胺,例如单乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺。在其他实施方案中,碱性显影剂可包括含氮碱,例如具有式RN1NH2,RN1RN2NH,RN1RN2RN3N,或RN1RN2RN3RN4N+XN1-的化合物,其中RN1,RN2,RN3和RN4中的每一个独立地是一种有机取代基(例如,任选取代的烷基或本文所述的任何有机取代基),或者两种或更多种可以连接在一起的有机取代基,并且XN1-可以包括OH-,F-,Cl-,Br-,I-或其他本领域已知的季铵盐铵阳离子种类。这些碱还可以包含本领域已知的杂环基氮化合物,其中一些在本文中有描述。
其他显影方法可以包括使用酸性显影剂(例如,水性酸性显影剂或有机溶剂中的酸性显影剂),其包括卤化物(例如,HCl或HBr)、有机酸(例如,甲酸、乙酸或柠檬酸)或有机氟化合物(例如三氟乙酸);或使用有机显影剂,例如酮(例如,2-庚酮、环己酮或丙酮)、酯(例如,γ-丁内酯或3-乙氧基丙酸乙酯(EEP))、醇(例如,异丙醇(IPA)),或醚,例如乙二醇醚(例如丙二醇甲基醚(PGME)或丙二醇甲基醚乙酸酯(PGMEA)),及其组合。
在特定实施方案中,正性显影剂是水性碱性显影剂(例如,包括NH4OH,TMAH,TEAH,TPAH或TBAH)。在其他实施方案中,负性显影剂是水性酸性显影剂、有机溶剂中的酸性显影剂或有机显影剂(例如,HCl、HBr、甲酸、三氟乙酸、2-庚酮、IPA、PGME、PGMEA或其组合)。
施加后过程
本文的方法可以包括任何有用的施加后过程,如下所述。
对于背面和斜面清洁工艺,蒸汽和/或等离子体可以限制在晶片的特定区域以确保仅去除背面和斜面,而不会在晶片的正面出现任何膜退化。被去除的干法沉积EUV光刻胶膜通常由Sn、O和C组成,但相同的清洁方法可以扩展到其他金属氧化物抗蚀剂和材料的膜。此外,这种方法还可以用于膜剥离和PR返工。
用于干法斜面边缘和背面清洁的合适处理条件可以是反应物流量为100sccm至500sccm(例如,500sccm HCl、HBr,或H2和Cl2或Br2、BCl3或H2),温度为-10℃至120℃(例如,20℃),压强为20mTorr至500mTorr(例如,300mTorr),在高频(例如,13.56MHz)下等离子体功率为0至500W,并且持续时间为约10秒到20秒,具体取决于光刻胶膜以及组成和特性。应当理解,虽然这些条件适用于一些处理反应器,例如,可从加利福尼亚州弗里蒙特的LamResearch Corporation获得的
Figure BDA0003261355850000591
蚀刻工具,但根据处理反应器的能力可以使用更广泛的处理条件。
光刻工艺通常涉及一个或多个烘烤步骤,以促进在光刻胶的暴露和未暴露区域之间产生化学对比度所需的化学反应。对于大批量制造(HVM),这种烘烤步骤通常在轨道上执行,其中晶片在环境空气或在某些情况下在N2流中以预设温度在热板上烘烤。在这些烘烤步骤期间更仔细地控制烘烤环境并在环境中引入额外的反应性气体组分可以帮助进一步降低剂量要求和/或改进图案保真度。
根据本公开的各个方面,在沉积(例如,施加后烘烤(PAB))和/或暴露(例如,暴露后烘烤(PEB))和/或显影(例如,显影后烘烤(PDB))之后对基于金属和/或金属氧化物的光致抗蚀剂的一种或多种后处理能够增加暴露和未暴露光刻胶之间的材料特性差异,并因此在随后的干显影后降低剂量尺寸比(DtS)、改进PR轮廓并改进线边缘和宽度粗糙度(LER/LWR)。这种处理可能涉及控制温度、气体环境和水分的热处理,从而在随后的处理中改进干显影性能。在某些实例中,可能使用远程等离子体。
在施加后处理(例如,PAB)的情况下,控制温度、气体环境(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或它们的混合物)或真空下,以及水分的热处理可以在沉积之后和暴露之前使用来改变未暴露的金属和/或金属氧化物光刻胶的组成。这种改变可以增加材料的EUV敏感性并因此可以在暴露和干显影后实现较低的剂量尺寸比和边缘粗糙度。
在暴露后处理(例如,PEB)的情况下,控制温度、气体气氛(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或它们的混合物)或真空下,以及水分的热处理可用于改变未暴露和暴露的光刻胶的组成。该改变可以增加未暴露和暴露光刻胶之间的组成/材料特性差异以及未暴露和暴露光刻胶之间的干显影蚀刻气体的蚀刻速率差异。由此可以实现更高的蚀刻选择性。由于改进的选择性,可以获得具有改进的表面粗糙度和/或更少的光刻胶残留物/浮渣的较方形PR轮廓。在特定实施方案中,PEB可以在空气中并且在任选的水分和CO2存在下进行。
在显影后处理(例如,显影后烘烤或PDB)的情况下,控制温度、气体气氛(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或它们的混合物)或真空下(例如,使用UV),以及水分的热处理可用于改变未暴露光刻胶的组成。在特定实施方案中,条件进一步包括使用等离子体(例如,包括O2、O3、Ar、He或它们的混合物)。该改变可以增加材料的硬度,这在蚀刻下面的衬底将膜用作抗蚀剂掩模时将是有益的。
在这些情况下,在替代实施方式中,热处理可以由远程等离子体处理代替以增加反应性物质以降低反应的能垒并提高生产率。远程等离子体可以生成更多的反应性自由基并因此降低处理的反应温度/时间,从而提高生产率。
因此,可以应用一种或多种工艺来改性光刻胶本身以增加干显影选择性。这种热或自由基改性可以增加未暴露和暴露材料之间的对比度,从而增加后续干显影步骤的选择性。可以通过调整处理条件(包括温度、气流、水分、压力和/或RF功率)来调整未暴露和暴露材料的材料特性之间的差异。由干显影实现的大工艺宽容度(其不受材料在湿显影剂溶剂中的溶解度的限制)允许应用更具侵袭性的条件,进一步增强可实现的材料对比度。由此产生的高材料对比度反过来为干显影提供了更宽的工艺窗口,从而实现了提高的生产率、较低的成本和较好的缺陷性能。
湿显影抗蚀剂膜的主要限制是温度烘烤受限。由于湿显影依赖于材料的溶解性,例如加热至或超过220℃会大大增加含金属PR膜的暴露和未暴露区域的交联度,从而使两者在湿显影溶剂中变得不溶,使得膜不再能可靠地进行湿显影。对于干显影抗蚀剂膜(其中依赖于PR的暴露和未暴露区域之间的蚀刻速率差异(即选择性)来仅去除抗蚀剂的暴露或未暴露部分),PAB、PEB或PDB中的处理温度可以在更宽的窗口内变化以调整和优化处理过程,例如针对PAB从约90℃到250℃,例如90℃到190℃,以及针对PEB和/或PDB,约170℃到250℃或更高,例如190℃至240℃。已经发现,在所述范围内的较高处理温度下发生降低的蚀刻速率和增大的蚀刻选择性。
在特定实施方案中,PAB、PEB和/或PDB处理可以在以下条件下进行:气体环境流量在100sccm至10000sccm的范围内,水分含量为几个百分比至100%(例如,20%-50%)的量,压力在大气压和真空之间,持续时间为约1至15分钟(例如约2分钟)。
这些发现可用于调整处理条件以针对特定材料和情况调整或优化处理。例如,以给定的EUV剂量在湿度约为20%的空气中进行约2分钟的220℃至250℃PEB热处理而所获得的选择性可能类似于以约高于30%的EUV剂量在不进行这种热处理的情况下的选择性。因此,根据半导体处理操作的选择性要求/约束,可以使用诸如本文所述的热处理来降低所需的EUV剂量。或者,如果需要更高的选择性并且可以耐受更高的剂量,则可以获得比湿显影情况下可能的选择性高得多的选择性(暴露对比未暴露,至多100倍)。
另外其他的步骤可以包括原位计量,其中可以在光刻工艺期间评估物理和结构特性(例如,临界尺寸、膜厚度等)。实施原位计量的模块包括例如散射测量法、椭偏测量法、下游质谱和/或等离子体增强下游光发射光谱模块。
设备
本公开还包括配置成执行本文描述的任何方法的任何设备。在一个实施方案中,用于沉积膜的设备包括沉积模块,其包括用于沉积一种或多种前体以提供底层和/或成像层的室;图案化模块,其包括具有亚30nm波长辐射源的EUV光刻工具;以及显影模块,其包括用于显影包括这些层的膜的室。
该设备可以进一步包括具有用于这些模块的指令的控制器。在一个实施方案中,控制器包括一个或多个存储器装置、一个或多个处理器和以用于进行膜沉积的指令编码的系统控制软件。这种方法可以包括在沉积模块中沉积一种或多种前体以提供底层和/或成像层;在图案化模块中,直接通过EUV暴露以亚30nm分辨率对层进行图案化,由此在膜内形成图案;以及在显影模块中将膜显影。在特定实施方案中,显影模块提供去除EUV暴露或EUV未暴露区域,由此在膜内提供图案。
图8描绘了具有处理室主体302的处理站300的实施方案的示意图,该处理室主体302用于维持适于实施如本文所述的所述气相沉积和干显影实施方案的低压环境。多个处理站300可以包括在共同的低压处理工具环境中。例如,图9描绘了多站处理工具400(例如可从加利福尼亚州弗里蒙特的Lam Research Corporation获得的
Figure BDA0003261355850000621
处理工具)的实施方案。在一些实施方案中,处理站300(包括以下详细讨论的那些)的一个或多个硬件参数可以由一个或多个计算机控制器350以编程方式调整。
处理站可以被配置为集群工具中的模块。图11描绘了半导体处理集群工具架构,其具有适合于实施本文所述的实施方案的真空集成沉积和图案化模块。这种集群处理工具架构可以包括PR和底层沉积、抗蚀剂暴露(EUV扫描仪)、抗蚀剂干显影和蚀刻模块,如上文和下文参考图10-11进一步描述的。
在一些实施方案中,某些处理功能可以在同一模块中连续执行,例如气相沉积(例如,PECVD)、干显影和蚀刻。并且本公开的实施方案涉及用于处理衬底的设备,该设备具有包括衬底支撑件的处理室、与处理室连接的处理气体源和相关联的流量控制硬件、与处理室连接的衬底处理硬件,以及具有处理器和存储器的控制器。在一些实施方式中,处理器和存储器彼此通信连接,处理器至少与流量控制和衬底处理硬件可操作地连接,并且存储器存储用于执行本文描述的制造图案化结构的方法中的操作的计算机可执行指令。
例如,存储器可以存储用于例如通过化学气相沉积(例如,PECVD)提供设置在衬底上的硬掩模的计算机可执行指令。如上所述,合适的硬掩模可以是例如未掺杂或掺杂有B或W的无定形碳可灰化硬掩模膜。
存储器可以进一步存储用于在衬底和/或硬掩模上沉积底层的指令,其中底层被配置为增加衬底和/或硬掩模与随后形成的EUV敏感无机光刻胶之间的粘附力,并且减少用于光刻胶的有效EUV暴露的EUV剂量。例如,如上所述,底层可以是或包括掺杂有非碳杂原子(例如,本文中的任何非碳杂原子,例如O、Si、N、W、B、I、Cl等)的氢化碳的气相沉积膜,该膜具有不超过约25nm的厚度,并且可以包含约0-30%O。在一些实施方式中,可以使用烃前体和/或掺杂剂前体通过PECVD或ALD将底层气相沉积在衬底和/或硬掩模上。在其他实施方式中,可以使用与H2或烃共反应的碳氧化物前体通过PECVD或ALD将底层气相沉积在衬底和/或硬掩模上。在该实施方式的变体中,碳氧化物前体可在沉积期间进一步与Si源掺杂剂共反应。在其他实施方式中,底层可以通过PECVD或ALD使用与氧化剂共反应的含Si前体(例如,本文所述的任何含O前体)气相沉积在衬底和/或硬掩模上。在该实施方式的变体中,含Si前体进一步与C源掺杂剂共反应。在一些实施方式中,可以通过PECVD将底层气相沉积在衬底和/或硬掩模上,作为衬底上的气相沉积或硬掩模在衬底上的气相沉积的终止操作,例如通过调节进入到PECVD处理室的前体流以获得所需的底层组成。
存储器可以进一步存储用于在光刻胶底层上形成EUV敏感无机光刻胶的指令。如上所述,合适的EUV敏感无机光刻胶可以是金属氧化物膜,例如基于EUV敏感氧化锡的光刻胶。
回到图8,处理站300与反应物输送系统301a流体连通以将工艺气体输送至分配喷头306。反应物输送系统301a任选地包括用于混合和/或调节工艺气体以输送至喷头306的混合容器304。一个或多个混合容器入口阀320可以控制工艺气体到混合容器304的引入。在使用等离子体暴露的情况下,等离子体也可以被输送到喷头306或者可以在处理站300中生成。如上所述,在至少一些实施方案中,非等离子热暴露是有利的。
图8包括用于汽化供应到混合容器304的液体反应物的任选的汽化点303。在一些实施方案中,汽化点303上游的液体流量控制器(LFC)可以被提供用于控制用于汽化和输送到处理站300的液体的质量流量。例如,LFC可以包括位于LFC下游的热质量流量计(MFM)。LFC的柱塞阀然后可以响应于由与MFM电连通的比例-积分-微分(PID)控制器提供的反馈控制信号而进行调节。
喷头306向衬底312分配工艺气体。在图8所示的实施方案中,衬底312位于喷头306下方并被示为搁置在基座308上。喷头306可以具有任何合适的形状并且可以具有用于将工艺气体分配到衬底312的任何合适数量和布置的端口。
在一些实施方案中,基座308可以升高或降低以将衬底312暴露于衬底312和喷头306之间的体积。应当理解,在一些实施方案中,基座高度可以通过合适的计算机控制器350以编程方式进行调整。
在一些实施方案中,基座308可以经由加热器310进行温度控制。在一些实施方案中,在光图案化抗蚀剂被非等离子热暴露于卤化氢干显影化学物质(例如HBr或HCl)期间,基座308可以被加热到大于0℃且至多300℃或更高(例如50到120℃,例如约65至80℃)的温度。
此外,在一些实施方案中,处理站300的压力控制可由蝶形阀318提供。如图8的实施方案中所示,蝶形阀318节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入到处理站300的一种或多种气体的流速来调整处理站300的压力控制。
在一些实施方案中,可以相对于基座308调节喷头306的位置以改变衬底312和喷头306之间的体积。此外,应当理解,基座308和/或喷头306的竖直位置可以通过本公开范围内的任何合适的机制来改变。在一些实施方案中,基座308可以包括用于旋转衬底312的取向的旋转轴。应当理解,在一些实施方案中,这些示例性调整中的一个或多个可以由一个或多个合适的计算机控制器350以编程方式执行。
在可以使用等离子体的情况下,例如在基于温和等离子体的干显影实施方案和/或在同一室中进行的蚀刻操作中,喷头306和基座308与RF电源314和匹配网络316电连通以为等离子体供电。在一些实施方案中,可以通过控制处理站压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源314和匹配网络316可以在任何合适的功率下操作以形成具有所需自由基物质组成的等离子体。合适功率的示例高达约500W。同样,RF电源314可以提供任何合适频率的RF功率。在一些实施方案中,RF电源314可以被配置为相互独立地控制高频和低频RF电源。低频RF频率的示例可以包括但不限于介于50kHz和1000kHz之间的频率。高频RF频率的示例可以包括但不限于介于1.8MHz和2.45GHz之间(例如,约13.56MHz)的频率。应当理解,可以离散地或连续地调节任何合适的参数以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于连续供电的等离子体,等离子体功率可以间歇地进行脉冲以减少对衬底表面的离子轰击。RF电源可以以任何合适的占空比操作。合适的占空比的示例包括但不限于介于介于约5%和90%之间的占空比。可接受的处理压强在约20mTorr到5Torr之间。
在一些示例中,RF功率可以在一个或多个级别之间是连续的或脉冲的。如果使用脉冲操作,则可以以1Hz至1MHz范围内的频率执行脉冲。在一些示例中,室压强保持在5mTorr至450mTorr范围内的预定压强。在其他示例中,沉积和处理在5mTorr至150mTorr范围内的压强下进行。在另外其他的示例中,沉积和处理在5mTorr至35mTorr范围内的压强下进行。
在一些沉积工艺中,等离子体撞击持续几秒或更长时间的数量级。在某些实施方式中,可以使用更短的等离子体撞击。这些可以在10毫秒到1秒(典型为约20到80毫秒,其中50毫秒是具体示例)的数量级上。这种非常短的RF等离子体撞击需要极快的等离子体稳定。为了实现这一点,等离子体发生器可以被配置成使得阻抗匹配被预设为特定电压,同时允许频率浮动。通常,高频等离子体以约13.56MHz的RF频率生成。在本文公开的各种实施方案中,允许频率浮动到与该标准值不同的值。通过在将阻抗匹配固定到预定电压的同时允许频率浮动,等离子体可以更快地稳定,当使用与某些类型的沉积循环相关联的非常短的等离子体撞击时,这一结果可能很重要。
在一些实施方案中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器350的指令。在一个示例中,用于为处理阶段设置条件的指令可以包括在工艺配方的相应配方阶段中。在某些情况下,流程配方阶段可以按顺序布置,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设置一个或多个反应器参数的指令可包括在配方阶段中。例如,配方阶段可以包括用于设置光刻胶底层碳氧化物前体和H2或烃共反应物和任选掺杂剂的流速的指令。在一些实施方案中,控制器350可以包括以下关于图9的系统控制器450描述的任何特征。
如上所述,一个或多个处理站可以包括在多站处理工具中。图9示出了具有入站负载锁402和出站负载锁404(其中一个或两者可以包括远程等离子体源)的多站处理工具400的实施方案的示意图。大气压下的机械手406被配置为将晶片从通过吊舱408装载的盒子经由大气端口410移动到入站负载锁402中。晶片由机械手406放置在入站负载锁402中的基座412上,大气端口410关闭,并且负载锁被抽真空。在入站负载锁402包括远程等离子体源的情况下,晶片可以在被引入处理室414之前暴露于远程等离子体处理以处理负载锁中的表面。此外,还可以在入站负载锁402中加热晶片,例如,以去除水分和吸附的气体。接着,打开通向处理室414的室输送端口416,并且另一机械手(未示出)将晶片放入反应器中所示的第一站的基座上的反应器中进行处理。虽然图9中描绘的实施方案包括负载锁,但是应当理解,在一些实施方案中,可以提供晶片直接进入处理站。
所描绘的处理室414包括四个(在图9所示的实施方案中编号为1至4)处理站。每个站都有一个加热基座(站1的418所示)和气体管线入口。应当理解,在一些实施方案中,每个处理站可以具有不同的或多种用途。例如,在一些实施方案中,处理站可以在干显影和蚀刻工艺模式之间切换。附加或替代地,在一些实施方案中,处理室414可包括干显影和蚀刻处理站的一个或多个匹配对。虽然所描绘的处理室414包括四个站,但应理解,根据本公开的处理室可具有任何合适数量的站。例如,在一些实施方案中,处理室可具有五个或更多个站,而在其他实施方案中,处理室可具有三个或更少的站。
图9描绘了用于在处理室414内传送晶片的晶片搬运系统490的实施方案。在一些实施方案中,晶片搬运系统490可以在各种处理站之间和/或在处理站和负载锁之间传送晶片。应当理解,可以采用任何合适的晶片搬运系统。非限制性示例包括晶片转盘和晶片搬运机械手。图9还描绘了用于控制处理工具400的处理条件和硬件状态的系统控制器450的实施方案。系统控制器450可以包括一个或多个存储器装置456、一个或多个大容量存储装置454和一个或多个处理器452。处理器452可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进马达控制器板等。
在一些实施方案中,系统控制器450控制处理工具400的所有活动。系统控制器450执行存储在大容量存储装置454中、加载到存储器装置456中并在处理器452上执行的系统控制软件458。替代地,控制逻辑可以硬编码在控制器450中。专用集成电路、可编程逻辑设备(例如,现场可编程门阵列或FPGA)等可以用于这些目的。在下面的讨论中,无论在哪里使用“软件”或“代码”,都可以在其位置使用功能可比的硬编码逻辑。系统控制软件458可以包括用于控制时间、气体混合物、气体流速、室和/或站压力、室和/或站温度、晶片温度、目标功率水平、RF功率水平、衬底基座、卡盘和/或承座位置,以及由处理工具400执行的特定工艺的其他参数的指令。系统控制软件458可以以任何合适的方式配置。例如,可以编写各种处理工具组件子例程或控制对象来控制用于执行各种处理工具过程的处理工具组件的操作。系统控制软件458可以用任何合适的计算机可读编程语言进行编码。
在一些实施方案中,系统控制软件458可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方案中可以采用存储在与系统控制器450相关联的大容量存储装置454和/或存储器装置456上的其他计算机软件和/或程序。为此目的的程序或程序段的示例包括衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,这些组件用于将衬底装载到基座418上并控制衬底和处理工具400的其他部件之间的间距。
工艺气体控制程序可以包括用于控制卤化氢气体组成(例如,如本文所述的HBr或HCl气体)和流速以及任选地用于在沉积之前使气体流入一个或多个处理站以稳定处理站中的压力的代码。压力控制程序可以包括用于通过调节例如处理站的排气系统中的节流阀、进入处理站的气体流量等来控制处理站中的压力的代码。
加热器控制程序可以包括用于控制流向用于加热衬底的加热单元的电流的代码。替代地,加热器控制程序可以控制传热气体(例如氦气)到衬底的输送。
根据本文的实施方案,等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率水平的代码。
根据本文实施方案,压力控制程序可包括用于维持反应室中的压力的代码。
在一些实施方案中,可以有与系统控制器450相关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图形软件显示,以及用户输入设备,例如指点设备、键盘、触摸屏、麦克风等。
在一些实施方案中,由系统控制器450调整的参数可与处理条件有关。非限制性示例包括工艺气体组成和流速、温度、压力、等离子体条件(例如RF偏置功率水平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,可以使用用户界面输入。
用于监测过程的信号可以通过系统控制器450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制该过程的信号可以在处理工具400的模拟和数字输出连接件上输出。可以被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用以维持处理条件。
系统控制器450可以提供用于实施上述沉积工艺的程序指令。程序指令可控制各种工艺参数,例如直流(DC)功率电平、RF偏置功率电平、压力、温度等。指令可控制参数以根据本文所述的各种实施方案操作光刻胶底层沉积工艺。
系统控制器450通常将包括一个或多个存储器装置和一个或多个处理器,该处理器被配置为执行指令使得设备将执行根据所公开的实施方案的方法。包含用于根据所公开的实施方案控制处理操作的指令的机器可读介质可以耦合到系统控制器450。
在一些实施方式中,系统控制器450是可以作为上述示例一部分的系统的一部分。这种系统可以包括半导体处理装置,包括一个或多个处理工具、一个或多个室、一个或多个用于处理的平台,和/或特定的处理组件(晶片基座、气流系统等)。这些系统可以与电子器件集成,以在半导体晶片或衬底的处理之前、期间和之后控制它们的操作。电子器件可被称为“控制器”,其可控制一个或多个系统的各种组件或子部件。根据处理条件和/或系统的类型,系统控制器450可以被编程以控制本文公开的任何过程,包括处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具的晶片传送件和其他传送工具和/或连接到特定系统或与特定系统接口的负载锁。
广义而言,系统控制器450可以被定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器,或执行程序指令的微控制器(例如,软件)。程序指令可以是以各种单独设置(或程序文件)的形式传送到系统控制器450的指令,其定义用于在半导体晶片或系统上或为半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是工艺工程师定义的配方的一部分,以在制造一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个处理步骤。
在一些实施方式中,系统控制器450可以是与系统集成、耦合到系统、以其他方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,系统控制器450可以在“云”中或者在装配主计算机系统(fab host computer system)的全部或一部分中,这可以允许远程访问晶片处理。计算机可以实现远程访问系统以监测制造操作的当前进度、检查过去制造操作的历史、检查来自多个制造操作的趋势或性能指标、改变当前处理的参数、设置要遵循当前处理的处理步骤,或开始新过程。在一些示例中,远程计算机(例如服务器)可以通过可以包括本地网络或因特网的网络向系统提供处理配方。远程计算机可以包括实现参数和/或设置的输入或编程的用户界面,然后将这些参数和/或设置从远程计算机传送到系统。在一些示例中,系统控制器450接收数据形式的指令,其指定要在一个或多个操作期间执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的过程类型和系统控制器450被配置为与之接口或控制的工具的类型。因此,如上所述,系统控制器450可以是分布式的,例如通过包括联网在一起并朝着共同的目的(例如本文所述的过程和控制)工作的一个或多个分立控制器进行分布。用于这种目的的分布式控制器的一个示例是室上的一个或多个集成电路,其与远程定位(例如在平台级别或作为远程计算机的一部分)的一个或多个集成电路通信,它们组合起来控制该室上的一个过程。
非限制性地,示例性系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、斜边蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、干显影室或模块,以及可与半导体晶片的装配和/或制造相关或在其中使用的任何其他半导体处理系统。
如上所述,根据工具要执行的一个或多个过程步骤,系统控制器450可以与一个或多个其他工具电路或模块、其他工具组件、集群工具、其他工具接口、邻近的工具、相邻的工具、位于工厂各处的工具、主计算机、另一个控制器或将晶片容器往返于半导体制造工厂的工具位置和/或负载端口运送的材料运输工具。
现在描述电感耦合等离子体(ICP)反应器,其在某些实施方案中可适用于适合于实施某些实施方案的蚀刻操作。尽管本文描述了ICP反应器,但在一些实施方案中,应当理解也可以使用电容耦合等离子体反应器。
图10示意性地示出了电感耦合等离子体设备500的截面图,该电感耦合等离子体设备500适合于实施某些实施方案或实施方案各方面,例如气相(干)沉积、干显影和/或蚀刻,其示例是加利福尼亚州弗里蒙特的Lam Research Corp.生产的
Figure BDA0003261355850000721
反应器。在其他实施方案中,可以使用具有进行本文描述的干法沉积、显影和/或蚀刻过程的功能的其他工具或工具类型来实施。
电感耦合等离子体设备500包括整体处理室524,其在结构上由室壁501和窗口511限定。室壁501可以由不锈钢或铝制成。窗口511可由石英或其他介电材料制成。任选的内部等离子体栅550将整个处理室分成上部子室502和下部子室503。在大多数实施方案中,可以去除等离子体栅550,由此利用由子室502和503构成的室空间。卡盘517位于靠近底部内表面的下部子室503内。卡盘517被配置为接收和保持半导体晶片519,在该半导体晶片519上执行蚀刻和沉积过程。卡盘517可以是静电卡盘,其用于在存在时支撑晶片519。在一些实施方案中,边缘环(未示出)围绕卡盘517并且具有当存在于卡盘517上方时与晶片519的顶面近似平面的上表面。卡盘517还包括用于夹持和脱离晶片519的静电电极。为此可以提供滤波器和DC钳位电源(未示出)。也可以提供用于将晶片519提升离开卡盘517的其他控制系统。卡盘517可以使用RF电源523充电。RF电源523通过连接件527连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,RF电源523连接到卡盘517。在各种实施方案中,静电卡盘的偏置功率可以设置为约50V或者可以设置为不同的偏置功率,具体取决于根据公开的实施方案执行的过程。例如,偏置功率可以在约20V和约100V之间,或约30V和约150V之间。
用于等离子体生成的元件包括位于窗口511上方的线圈533。在一些实施方案中,在公开的实施方案中不使用线圈。线圈533由导电材料制成并且包括至少一整圈。图10所示的线圈533的示例包括三圈。线圈533的截面用符号示出,并且具有“X”的线圈旋转地延伸到页面中,而具有“●”的线圈旋转地延伸出页面。用于等离子体生成的元件还包括配置为向线圈533提供RF功率的RF电源541。通常,RF电源541通过连接件545连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF电源541连接到线圈533。任选的法拉第屏蔽549a定位在线圈533和窗口511之间。法拉第屏蔽549a可以相对于线圈533保持间隔开的关系。在一些实施方案中,法拉第屏蔽549a设置在窗口511的正上方。在一些实施方案中,法拉第屏蔽549b在窗口511和卡盘517之间。在一些实施方案中,法拉第屏蔽549b相对于线圈533不保持间隔开的关系。例如,法拉第屏蔽549b可以没有间隙地直接在窗口511下方。线圈533、法拉第屏蔽549a和窗口511各自被配置为基本上彼此平行。法拉第屏蔽549a可以防止金属或其他物质沉积在处理室524的窗口511上。
工艺气体可通过定位在上部子室502中的一个或多个主气流入口560和/或通过一个或多个侧气流入口570流入处理室。同样,尽管未明确示出,类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如一级或二级机械干泵和/或涡轮分子泵540,可用于将工艺气体从处理室524中抽出并维持处理室524内的压力。例如,在ALD的吹扫操作期间,真空泵可用于抽空下部子室503。阀控导管可用于将真空泵流体连接到处理室524,以便选择性地控制由真空泵提供的真空环境的施加。这可以在操作等离子体处理期间采用闭环控制的流量限制装置来完成,例如节流阀(未示出)或摆阀(未示出)。同样,也可以采用真空泵和通向电容耦合等离子体处理室的阀控制流体连接件。
在设备500的操作期间,一种或多种工艺气体可通过气流入口560和/或570供应。在某些实施方案中,工艺气体可仅通过主气流进口560,或仅通过侧气流入口570供应。在一些情况下,图中所示的气流入口可以由更复杂的气流入口(例如一个或多个喷头)代替。法拉第屏蔽549a和/或任选的格栅550可以包括允许将工艺气体输送到处理室524的内部通道和孔。法拉第屏蔽549a和任选的格栅550中的任一个或两者可以用作用于输送工艺气体的喷头。在一些实施方案中,液体汽化和输送系统可以位于处理室524的上游,使得一旦液体反应物或前体被汽化,汽化的反应物或前体就经由气流入口560和/或570被引入到处理室524中。
射频功率从RF电源541提供给线圈533,以使RF电流流过线圈533。流过线圈533的RF电流在线圈533周围生成电磁场。电磁场在上部子室502内生成感应电流。各种生成的离子和自由基与晶片519的物理和化学相互作用蚀刻晶片519的特征并在晶片519上选择性地沉积层。
如果使用等离子体栅格550使得同时存在上部子室502和下部子室503,则感应电流作用于存在于上部子室502中的气体以在上部子室502中生成电子-离子等离子体。任选的内部等离子体格栅550限制下部子室503中热电子的量。在一些实施方案中,设备500设计和操作成使得存在于下部子室503中的等离子体是离子-离子等离子体。
上部电子-离子等离子体和下部离子-离子等离子体两者都可以包含正离子和负离子,尽管离子-离子等离子体将具有更大的负离子与正离子的比率。挥发性蚀刻和/或沉积副产物可以通过端口522从下部子室503去除。本文公开的卡盘517可以在范围在约10℃和约250℃之间的升高的温度下操作。温度将取决于工艺操作和特定配方。
当安装在洁净室或制造设施中时,设备500可以耦合到设施(未示出)。设施包括提供处理气体、真空、温度控制和环境颗粒控制的管道。当安装在目标制造设施中时,这些设施被耦合到设备500。此外,设备500可以耦合到传送室,该传送室允许机械手使用典型的自动化将半导体晶片传送进出设备500。
在一些实施方案中,系统控制器530(其可包括一个或多个物理或逻辑控制器)控制处理室524的一些或全部操作。系统控制器530可包括一个或多个存储器装置和一个或多个的处理器。在一些实施方案中,设备500包括用于在执行所公开的实施方案时控制流速和持续时间的切换系统。在一些实施方案中,设备500可具有高达约500ms或高达约750ms的切换时间。切换时间可能取决于流动化学、选择的配方、反应器架构和其他因素。
在一些实施方式中,系统控制器530是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个室、一个或多个用于处理的平台和/或特定的处理组件(晶片基座、气流系统等)。这些系统可以与电子设备集成,以在处理半导体晶片或衬底之前、期间和之后控制它们的操作。电子设备可以集成到系统控制器530中,该系统控制器530可以控制一个或多个系统的各种组件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何过程,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具的晶片传送件和其他传送工具和/或连接到特定系统或与特定系统接口的负载锁。
广义而言,系统控制器530可以被定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器,或执行程序指令的微控制器(例如,软件)。程序指令可以是以各种单独设置(或程序文件)的形式传送到该控制器的指令,其定义用于在半导体晶片或系统上或为半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是工艺工程师定义的配方的一部分,以在制造或去除一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个处理步骤。
在一些实施方式中,系统控制器530可以是与系统集成、耦合到系统、以其他方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,该控制器可以在“云”中或者在装配主计算机系统(fab host computer system)的全部或一部分中,这可以允许远程访问晶片处理。计算机可以实现远程访问系统以监测制造操作的当前进度、检查过去制造操作的历史、检查来自多个制造操作的趋势或性能指标、改变当前处理的参数、设置要遵循当前处理的处理步骤,或开始新过程。在一些示例中,远程计算机(例如服务器)可以通过可以包括本地网络或因特网的网络向系统提供处理配方。远程计算机可以包括实现参数和/或设置的输入或编程的用户界面,然后将这些参数和/或设置从远程计算机传送到系统。在一些示例中,系统控制器530接收数据形式的指令,其指定要在一个或多个操作期间执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的过程类型和该控制器被配置为与之接口或控制的工具的类型。因此,如上所述,系统控制器530可以是分布式的,例如通过包括联网在一起并朝着共同的目的(例如本文所述的过程和控制)工作的一个或多个分立控制器进行分布。用于这种目的的分布式控制器的一个示例是室上的一个或多个集成电路,其与远程定位(例如在平台级别或作为远程计算机的一部分)的一个或多个集成电路通信,它们组合起来控制该室上的一个过程。
非限制性地,示例性系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、斜边蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、干显影室或模块,以及可与半导体晶片的装配和/或制造相关或在其中使用的任何其他半导体处理系统。
如上所述,根据工具要执行的一个或多个过程步骤,该控制器可以与一个或多个其他工具电路或模块、其他工具组件、集群工具、其他工具接口、邻近的工具、相邻的工具、位于工厂各处的工具、主计算机、另一个控制器或将晶片容器往返于半导体制造工厂的工具位置和/或负载端口运送的材料运输工具。
可以使用任何合适的工具进行EUVL图案化,该工具通常称为扫描仪,例如由荷兰艾恩德霍芬(Veldhoven,NL)的ASML提供的TWINSCAN NXE:
Figure BDA0003261355850000771
EUVL图案化工具可以是独立装置,如本文所述,衬底从该装置移入和移出以进行沉积和蚀刻。或者,如下所述,EUVL图案化工具可以是更大的多组件工具上的模块。图11描绘了适合于实施本文所述过程的半导体处理集群工具架构600,其具有与真空传送模块接口的真空集成沉积、EUV图案化和干显影/蚀刻模块。虽然可以在没有这种真空集成设备的情况下进行该过程,但是这种设备在一些实施方式中可能是有利的。
图11描绘了适合于实施本文所述过程的半导体处理集群工具架构,其具有与真空传送模块接口的真空集成沉积和图案化模块。在多个存储设施和处理模块之间的用以“传送”晶片的传送模块的布置可以被称为“集群工具架构”系统。根据特定工艺的要求,沉积和图案化模块是真空集成的。其他模块,例如用于蚀刻的模块,也可以包含在集群中。
真空传输模块(VTM)638与可经单独优化以执行各种制造工艺的四个处理模块620a-620d接口。举例来说,处理模块620a-620d可以被实施为执行沉积、蒸发、ELD、干显影、蚀刻、剥离和/或其他半导体工艺。例如,模块620a可以是可操作以在如本文所述的非等离子体热原子层沉积中操作的ALD反应器,例如可从加利福尼亚州费利蒙市的朗姆研究公司(Lam Research Corporation,Fremont,CA)获得的Vector工具。并且模块620b可以是PECVD工具,例如Lam
Figure BDA0003261355850000782
应当理解,该图不一定按比例绘制。
气锁642和646,也称为负载锁或传送模块,与VTM 638和图案化模块640接口。例如,如上所述,合适的图案化模块可以是荷兰艾恩德霍芬(Veldhoven,NL)的ASML提供的TWINSCAN NXE:
Figure BDA0003261355850000781
这种工具架构允许在真空下传送工件(例如半导体衬底或晶片)以免在暴露前发生反应。由于环境气体(如H2O、O2等)对入射光子的强光吸收,EUVL还需要大大降低的压力,这个事实促进了沉积模块与光刻工具的集成。
如上所述,该集成架构只是用于实施所描述的过程的工具的一个可能实施方案。还可以使用更传统的独立EUVL扫描仪和沉积反应器(例如Lam Vector工具)来实施这些过程,所述反应器是独立的,或者如参考图11所描述的那样,作为模块与其他工具(例如蚀刻、剥离工具等;例如,Lam Kiyo或Gamma工具)集成在集群架构中,但没有集成的图案化模块。
气锁642可以是“输出”负载锁,指的是将衬底从用作沉积模块620a的VTM 638传送到图案化模块640,并且气锁646可以是“输入”负载锁,指的是将衬底从图案化模块640传送回VTM 638。输入负载锁646还可以提供与工具外部接合的接口,以用于衬底的进出。每个处理模块具有将该模块接合到VTM 638的小面。例如,沉积处理模块620a具有小面636。在每个小面内,传感器(例如所示的传感器1-18)用于检测在各个站之间移动时晶片626的通过。图案化模块640和气锁642和646可以类似地配备有额外的小面和传感器(未示出)。
主VTM机械手622在包括气锁642和646的模块之间传送晶片626。在一个实施方案中,机械手622具有一个臂,而在另一个实施方案中,机械手622具有两个臂,其中每个臂具有末端执行器624以拾取晶片(例如晶片626)进行运送。前端机械手644用于将晶片626从输出气锁642传送到图案化模块640中,从图案化模块640传送到输入气锁646中。前端机械手644还可以在输入负载锁和工具外部之间运送晶片626进出衬底。因为输入气锁模块646具有在大气和真空之间匹配环境的能力,所以晶片626能够在两种压力环境之间移动而不会被损坏。
应当注意,EUVL工具通常在比沉积工具更高的真空下操作。如果是这种情况,希望在沉积与EUVL工具之间的传送期间增加衬底的真空环境,以允许衬底在进入图案化工具之前脱气。输出气锁642可以通过将传送的晶片保持在较低压力下(不高于图案化模块640中的压力)持续一段时间并排出任何释气来提供该功能,从而图案化工具640的光学器件是不会被衬底的释气污染的。用于释气的输出气锁的合适压力不超过1E-8Torr。
在一些实施方案中,系统控制器650(其可包括一个或多个物理或逻辑控制器)控制集群工具和/或其单独模块的一些或全部操作。应当注意,控制器可以位于集群架构本地,或者可以位于制造车间中集群架构的外部,或者位于远程位置并经由网络连接到集群架构。系统控制器650可以包括一个或多个存储器装置和一个或多个处理器。处理器可以包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进马达控制器板和其他类似组件。在处理器上执行用于实施适当控制操作的指令。这些指令可以存储在与控制器相关联的存储器装置上,或者它们可以通过网络提供。在某些实施方案中,系统控制器执行系统控制软件。
系统控制软件可以包括用于控制应用的定时和/或工具或模块操作的任何方面的幅度的指令。可以以任何合适的方式配置系统控制软件。例如,可以编写各种处理工具组件子例程或控制对象来控制执行各种处理工具过程所必需的处理工具组件的操作。系统控制软件可以用任何合适的计算可读编程语言进行编码。在一些实施方案中,系统控制软件包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。例如,半导体制造工艺的每个阶段可以包括一个或多个由系统控制器执行的指令。例如,用于设置冷凝、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可以包括在相应的配方阶段中。
在各种实施方案中,提供了一种用于形成负图案掩模的设备。该设备可以包括用于图案化、沉积和蚀刻的处理室,以及包括用于形成负图案掩模的指令的控制器。该指令可包括用于在处理室中,通过EUV暴露以暴露衬底的表面来对半导体衬底上的化学放大(CAR)抗蚀剂中的特征进行图案化、对光图案化的抗蚀剂进行干显影以及使用图案化的抗蚀剂作为掩模来蚀刻下层或层堆叠。
应当注意,控制晶片移动的计算机可以是集群架构本地的或者可以位于制造车间中集群架构的外部,或者位于远程位置并且经由网络连接到集群架构。一种如上关于图8、9或10中任一个所述的控制器可以用图11中的工具来实施。
图12示出了沉积室的示例(例如,其用于基于蒸汽的沉积,例如用于成像层和/或底层)。可以看出,设备700包括处理室702,该处理室702具有盖子708和晶片传送通道704,该晶片传送通道704的尺寸被设计成允许衬底722穿过其中并放置在晶片支撑件724上。晶片传送通道704可以具有闸阀706或可操作以密封或开封晶片传送通道的类似门机构。例如,处理室702可以经由位于相邻传送室中的晶片处理机械手提供衬底722。
晶片支撑件724可以包括ESC 726以提供用于衬底722的晶片支撑表面。ESC 726可以包括结合到顶板728的顶表面的底板734。在所描绘的示例中,顶板728内嵌有两个独立的电气系统。一种这样的系统是静电夹持电极系统,其具有一个或多个夹持电极732以在衬底722内生成电荷,该电荷导致衬底722被拉靠在顶板728的晶片支撑表面上。
另一个系统是热控制系统以在处理条件期间控制衬底722的温度。在图12中,热控制系统的特征在于位于夹持电极732下方的四个环形电阻加热器迹线730a、730b、730c和730d。每个电阻加热器迹线730a、730b、730c、730d可被单独控制以例如在顶板728中提供多种径向加热分布,以保持衬底722以便在某些情况下具有±0.5℃的温度均匀性。其他实施方式可以使用具有多于或少于四个区的单区或多区加热系统。在例如上面讨论的温度控制机制的一些实施方式中,可以使用热泵或珀尔帖结来代替电阻加热迹线。
ESC 726还可包括底板734以向顶板728的下侧提供结构支撑并且其还可用作散热系统。例如,底板734可以包括一个或多个热交换通道736;并且热交换介质,例如水或惰性氟化液体,可以在使用期间通过热交换通道736循环。
ESC 726可由晶片支撑壳体742支撑,该晶片支撑壳体742与晶片支撑柱744连接并由该晶片支撑柱744支撑。晶片支撑柱744可具有布线通道748,用于布线电缆(例如其用于提供电力)的其他通道、流体流动导管(例如其用于输送热交换介质)和通向底板734和/或顶板728的下侧的其他设备。
图12的设备700还包括晶片支撑件z-致动器746,其可以为晶片支撑柱744提供可移动支撑。晶片支撑件z-致动器746可以被致动以引起晶片支撑柱744和由其支撑的晶片支撑件724在处理室702的反应空间720内竖直向上或向下移动,例如高达几英寸。这样做时,衬底722和喷头710的下侧之间的间隙距离X可以根据各种处理条件进行调整。
晶片支撑件724还可包括可用于控制和/或微调各种处理条件的一个或多个边缘环。在图12中,提供位于例如下边缘环740a和740b顶部的上边缘环738,该下边缘环740a和740b又由晶片支撑壳体742和第三下边缘环740c支撑。
设备700还可以包括用于在处理期间和处理结束之后从处理室702去除处理气体的系统。例如,处理室702可以包括环绕晶片支撑柱744的环形增压室756。环形增压室756又可以与真空前级管线752流体连接,该真空前级管线752可以与真空泵连接。调节阀754可以设置在真空前级管线752和处理室702之间并被致动以控制进入真空前级管线752的流量。在一些实施方式中,可以提供挡板750,例如环形板或其他结构,其可以用于使进入环形增压室756的流更均匀地分布在晶片支撑柱744的圆周周围,以减少流过衬底722的反应物中出现流不均匀性的机会。
如图所示,喷头710是双增压室喷头710并且包括经由第一入口716提供工艺气体的第一增压室712和经由第二入口718提供工艺气体的第二增压室714。可以采用两个或多个增压室来在释放前体和反反应物之前保持前体和反反应物之间的分离。在一些情况下,使用单个增压室将前体输送到处理室702的反应空间720中。每个增压室可以具有相应的一组气体分配端口,这些气体分配端口通过喷头710的面板将相应的增压室与反应空间720进行流体连接(面板是插入在最下方的增压室和反应空间720之间的喷头710的部分)。
喷头710的第一入口716和第二入口718可以经由气体供应系统提供处理气体,该气体供应系统可以被配置为提供一种或多种前体和/或反反应物,如本文所讨论的那样。第一阀歧管768a可以被配置为向第一入口716提供一个或多个前体,而第二阀歧管768b可以被配置为向第二入口718提供其他前体或其他反应物。在该示例中,第一阀歧管768a例如包括多个阀A1-A5。例如,阀A2可以是三通阀,其具有与第一蒸发器772a流体连接的一个端口、与旁通管线770a流体连接的另一个端口,以及与另一个三通阀A3上的端口流体连接的第三端口。类似地,阀A4可以是另一个三通阀,其具有与第二蒸发器772b流体连接的一个端口、与旁路管线770a流体连接的另一个端口,以及与另一个三通阀A5上的端口流体连接的第三端口。阀A5上的其他端口之一可与第一入口716流体连接,而阀A5上的其余端口可与阀A3上的其余端口之一流体连接。阀A3上的其余端口又可与阀A1流体连接,该阀A1可以流体插入在阀A3和吹扫气体源774之间,该气体例如氮气、氩气或其他合适的惰性气体(相对于前体和/或反反应物)。在一些实施方案中,仅采用第一阀歧管。
出于本公开的目的,术语“流体连接”是关于可以彼此连接以形成流体连接的体积、增压室、孔等使用的,类似于术语“电连接”是关于连接在一起以形成电连接的组件使用的那样。如果使用,术语“流体插入”可用于指组件、体积、增压室或孔与至少两个其他组件、体积、增压室或孔流体连接,使得从那些其他组件、体积、增压室或孔之一流到那些组件、体积、增压室或孔的另一个或另一者的流体将首先流过“流体插入”组件,然后到达那些组件、体积、增压室或孔中的另一个或另一者。例如,如果泵流体插入在储液器和出口之间,则从储液器流到出口的流体将在到达出口之前首先流过泵。
例如,第一阀歧管768a可以是可控的,以例如通过阀门A1-A5的受控致动,使得来自蒸发器772a和772b之一或两者的蒸汽流到处理室702或通过第一旁通管线770a并进入真空前级管线752。第一阀歧管768a也可以是可控的,以使吹扫气体从吹扫气体源774流入第一入口716。
应当理解,可以以类似方式,例如通过控制阀B1-B5,控制第二阀歧管768b以将来自蒸发器772c和772d的蒸汽提供到第二入口718或第二旁通管线770b。还应当理解,也可以使用不同的歧管布置,包括单个整体歧管,该歧管包括用于控制前体、反反应物或其他反应物流向第一入口716和第二入口718的阀门。
如前所述,一些设备700可以以较少数量的蒸汽源(例如仅两个蒸发器772)为特征,在这种情况下,阀歧管768可以被修改为具有较少数量的阀,例如仅阀门A1-A3。
如上所讨论的那样,可用于提供膜的干法沉积的设备(例如设备700)可被配置为维持处理室702内的特定温度分布。特别地,这种设备700可被配置为将衬底722维持在比与前体和/或反反应物直接接触的设备702的大多数装备更低的温度下,例如低至少25℃至50℃。
为了提供温度控制,设备700中可以包括各种加热系统。例如,处理室702可以具有用于接收筒式加热器758的容器,例如,用于接收筒式加热器758的竖直孔可以钻入到室702壳体的四个角中。在一些实施方式中,喷头710可以覆盖有加热毯760,该加热毯760可以用于在喷头710的暴露的上表面上施加热量以保持喷头温度升高。对用于将汽化的反应物从汽化器772传导到喷头710的各种气体管线进行加热也可能是有益的。例如,电阻加热带可以缠绕在这种气体管线周围并且用于将它们加热到升高的温度。图12中的任何气体管线,甚至闸阀706可以有源或间接加热。
设备700的各种操作系统可以由控制器784控制,该控制器784可以包括一个或多个处理器786和一个或多个存储器设备788,该处理器786和存储器设备788可操作地彼此连接并且与设备700的各种系统和子系统通信连接,以便为那些系统提供控制功能。例如,控制器784可以被配置为控制阀A1-A5和B1-B5、各种加热器758、760、蒸发器772、调节阀754、闸阀706、晶片支撑件z-致动器等。
设备700可以包括的另一个特征在图13中示出,该图描绘了图12的衬底722、顶板728和上边缘环738的一部分的特写侧截面图和平面图。可以看出,在一些实施方式中,衬底722可以通过多个小台面776从顶板728的大部分上升高,该小台面776可以是浅凸台,该浅凸台从顶板728的标称上表面突出一小段距离以在衬底722的下侧和顶板728的大部分之间提供背面间隙778。可以在顶板728的外周边提供周向壁特征777。周向壁特征777可以围绕顶板728的整个周边延伸并且其标称高度与台面776的相同。在处理操作期间,通常惰性的气体,例如氦气,可以经由一种或多种气体端口782流入背面间隙778。然后该气体可以在遇到周向壁特征777之前径向向外流动,然后以这种方式限制这种径向向外的流并导致气体的较高压力区域被困在衬底722和顶板728之间。通过周向壁777泄漏的惰性气体可以最终通过衬底722的外边缘和上边缘环738的一部分之间的径向间隙780流出。这种气体可以用于通过防止喷头710释放的气体到达衬底722的下侧,保护衬底722的下侧不受正在执行的处理操作的不利影响。同时,释放到后侧间隙778区域中的气体可以还起到增加衬底722和顶板728之间的热耦合的作用,从而允许顶板728更有效地加热或冷却衬底722。由于由周向壁提供的更高压力,后侧间隙778区域内的气体也可以比室的其余部分中的气体密度更高,并且因此可以在衬底722和顶板728之间提供更有效的热耦合。
控制器784可以被配置为,例如,经由计算机可执行指令的执行,使设备700执行与以上提供的公开一致的各种操作。
一旦成像层和/或底层已经沉积在衬底722上,如上所述,衬底722可以传送到一个或多个后续处理室或工具以进行额外的操作(例如,本文所述的任何操作)。在2020年6月22日提交的题为“APPARATUS FOR PHOTORESIST DRY DEPOSITION”的国际专利申请号PCT/US2020/038968中描述了进一步的沉积设备,该申请通过引用整体并入本文。
定义
本文中可互换使用的“酰氧基”或“烷酰氧基”是指通过氧基基团与母体分子基团连接的如本文所定义的酰基或烷酰基。在特定实施方案中,烷酰氧基是-O-C(O)-Ak,其中Ak是如本文所定义的烷基基团。在一些实施方案中,未取代的烷酰氧基是C2-7烷酰氧基基团。示例性的烷酰氧基基团包括乙酰氧基。
“脂肪族”是指具有至少一个碳原子至50个碳原子(C1-50),例如1至25个碳原子(C1-25),或1至10个碳原子(C1-10)的烃基,并且其包括烷烃(或烷基)、烯烃(或烯基)、炔烃(或炔基),包含其环状形式,并且进一步包含直链和支链排列,以及所有立体和位置异构体。这种脂肪族可以未被取代或被一个或多个基团(例如本文针对烷基基团描述的基团)取代。
“烯基”是指具有一个或多个双键的任选取代的C2-24烷基。烯基可以是环状的(例如,C3-24环烯基)或无环的。烯基基团也可以是取代的或未取代的。例如,烯基基团可被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
“亚烯基”是指烯基基团的多价(例如,二价)形式,其是具有一个或多个双键的任选取代的C2-24烷基。亚烯基基团可以是环状的(例如,C3-24环烯基)或无环的。亚烯基基团可以是取代的或未取代的。例如,亚烯基基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。示例性的非限制性亚烯基基团包括-CH=CH-或-CH=CHCH2-。
“烷氧基”是指-OR,其中R是任选取代的烷基基团,如本文所述。示例性烷氧基基团包括甲氧基、乙氧基、丁氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基基团可以是取代的或未取代的。例如,烷氧基可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。示例性的未取代烷氧基基团包括C1-3,C1-6,C1-12,C1-16,C1-18,C1-20,或C1-24烷氧基。
“烷基”和前缀“烷”是指具有1至24个碳原子的支链或无支链的饱和烃基,例如甲基(Me)、乙基(Et)、正丙基(n-Pr)、异丙基(i-Pr)、环丙基、正丁基(n-Bu)、异丁基(i-Bu)、仲丁基(s-Bu)、叔丁基(t-Bu)、环丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十烷基等。烷基基团可以是环状的(例如,C3-24环烷基)或无环的。烷基基团可以是支链的或无支链的。烷基基团也可以是取代的或未取代的。例如,烷基基团可包括卤代烷基,其中烷基基团被一个或多个卤基取代,如本文所述。在另一个示例中,烷基基团可以被一个、两个、三个或在两个或更多个碳的烷基的情况下被四个取代基取代,该取代基独立地选自由以下项组成的组:(1)C1-6烷氧基(例如,-O-Ak,其中Ak是任选取代的C1-6烷基);(2)氨基(例如,NRN1RN2,其中RN1和RN2中的每个独立地是H或任选取代的烷基,或RN1和RN2与各自连接的氮原子一起形成杂环基基团);(3)芳基;(4)芳基烷氧基(例如-O-Lk-Ar,其中Lk是任选取代的烷基的二价形式,并且Ar是任选取代的芳基);(5)芳酰基(例如,C(O)-Ar,其中Ar是任选取代的芳基);(6)氰基(例如-CN);(7)羧基醛(例如,-C(O)H);(8)羧基(例如,-CO2H);(9)C3-8环烷基(例如一价饱和或不饱和的非芳族环状C3-8烃基);(10)卤素(例如F、Cl、Br或I);(11)杂环基(例如,5、6或7元环,除非另有说明,否则含有一个、两个、三个或四个非碳杂原子,例如氮、氧、磷、硫或卤素);(12)杂环氧基(例如-O-Het,其中Het是杂环基,如本文所述);(13)杂环基(例如,-C(O)-Het,其中Het是杂环基,如本文所述);(14)羟基(例如-OH);(15)N-保护的氨基;(16)硝基(例如,-NO2);(17)氧代(例如,=O);(18)-CO2RA,其中RA选自(a)C1-6烷基、(b)C4-18芳基和(c)(C4-18芳基)C1-6烷基(例如-Lk-Ar,其中Lk是任选取代的烷基基团的二价形式,并且Ar是任选取代的芳基);(19)-C(O)NRBRC,其中RB和RC中的每个独立地选自(a)氢、(b)C1-6烷基、(c)C4-18芳基和(d)(C4-18芳基)C1-6烷基(例如-Lk-Ar,其中Lk是任选取代的烷基基团的二价形式并且Ar是任选取代的芳基);以及(20)-NRGRH,其中RG和RH中的每个独立地选自(a)氢,(b)N-保护基团,(c)C1-6烷基,(d)C2-6烯基(例如,具有一个或多个双键的任选取代的烷基),(e)C2-6炔基(例如,具有一个或多个三键的任选取代的烷基),(f)C4-18芳基,(g)(C4-18)芳基)C1-6烷基(例如,Lk-Ar,其中Lk是任选取代的烷基基团的二价形式,并且Ar是任选取代的芳基)、(h)C3-8环烷基和(i)(C3-8环烷基)C1-6烷基(例如,-Lk-Cy,其中Lk是任选取代的烷基基团的二价形式并且Cy是任选取代的环烷基,如本文所述),其中在一个实施方案中没有两个基团通过羰基基团与氮原子结合。烷基基团可以是被一个或多个取代基(例如,一个或多个卤素或烷氧基)取代的伯、仲或叔烷基基团。在一些实施方案中,未取代的烷基是C1-3,C1-6,C1-12,C1-16,C1-18,C1-20,或C1-24烷基基团。
“亚烷基”是指烷基基团的多价(例如,二价)形式,如本文所述。示例性亚烷基基团包括亚甲基、亚乙基、亚丙基、亚丁基等。在一些实施方案中,亚烷基基团是C1-3,C1-6,C1-12,C1-16,C1-18,C1-20,C1-24,C2-3,C2-6,C2-12,C2-16,C2-18,C2-20,或C2-24亚烷基基团。亚烷基基团可以是支链的或无支链的。亚烷基基团也可以是取代的或未取代的。例如,亚烷基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
“炔基”是指具有一个或多个三键的任选取代的C2-24烷基。炔基基团可以是环状或无环的,并且其示例为乙炔基、1-丙炔基等。炔基基团也可以是取代的或未取代的。例如,炔基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
“亚炔基”是指炔基基团的多价(例如,二价)形式,其是具有一个或多个三键的任选取代的C2-24烷基。亚炔基基团可以是环状的或无环的。亚炔基基团可以是取代的或未取代的。例如,亚炔基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。示例性的非限制性亚炔基基团包括-C≡C-或-C≡CCH2-。
“氨基”是指-NRN1RN2,其中RN1和RN2中的每个独立地是H、任选取代的烷基或任选取代的芳基,或RN1和RN2连同各自连接的氮原子一起形成如本文所定义的杂环基基团。
除非另有说明,否则“芳族”是指具有单环(例如,苯基)或多个稠合环[其中至少一个环是芳族的(例如,萘基、吲哚基或吡唑并吡啶基)]的5至15个环原子的环状共轭基团或部分;也就是说,至少一个环和任选的多个稠环具有连续的离域π-电子系统。通常,平面外π-电子的数量对应于Huckel规则(4n+2)。与母体结构的连接点通常是通过稠环系统的芳族部分。这种芳族可以未被取代或被一个或多个基团(例如本文针对烷基或芳基基团描述的基团)取代。其他取代基团可包括脂肪族、卤代脂肪族、卤素、硝酸盐、氰基、磺酸盐、磺酰基等。
“芳基”是指这样一种基团,其包含任何基于碳的芳族基团,包括但不限于苯基、苄基、蒽基团(anthracenyl)、蒽基(anthryl)、苯并环丁烯基、苯并环辛烯基、联苯基、
Figure BDA0003261355850000891
基(chrysenyl)、二氢茚基、荧蒽基、引达省基(indacenyl)、茚基、萘基、菲基、苯氧基苄基、苉基(picenyl)、芘基、三联苯等,包括稠合的苯并-C4-8环烷基(例如,如本文所定义),例如茚满基、四氢萘基、芴基等。术语芳基还包括杂芳基,其定义为包含芳族基团的基团,该芳族基团具有结合在芳族基团的环内的至少一个杂原子。杂原子的示例包括但不限于氮、氧、硫和磷。同样,也包括在术语芳基中的术语非杂芳基定义了含有不含杂原子的芳香基团的基团。芳基基团可以是取代的或未取代的。芳基基团可以被一个、两个、三个、四个或五个取代基(例如本文针对烷基所述的任何取代基)取代。
“亚芳基”是指芳基基团的多价(例如,二价)形式,如本文所述。示例性的亚芳基基团包括亚苯基、亚萘基、亚联苯基、三亚苯基、二苯醚、苊撑(acenaphthenylene)、亚蒽或亚菲。在一些实施方案中,亚芳基基团是C4-18,C4-14,C4-12,C4-10,C6-18,C6-14,C6-12,或C6-10亚芳基基团。亚芳基基团可以是支链的或无支链的。亚芳基基团也可以是取代的或未取代的。例如,亚芳基基团可以被一个或多个取代基基团(如本文针对烷基或芳基所述的基团)取代。
“(芳基)(烷)撑”((aryl)(alkyl)ene)是指包括连接到如本文所述的亚烷基或杂亚烷基基团的如本文所述的亚芳基基团的二价形式。在一些实施方案中,(芳基)(烷)撑基团是-L-Ar-或-L-Ar-L-或-Ar-L-,其中Ar是亚芳基基团并且每个L独立地是任选的取代的亚烷基基团或任选取代的杂亚烷基基团。
“羰基”是指-C(O)-基团,其也可以表示为>C=O,或-CO基团。
“羧基”是指-CO2H基团。
“羧基烷基”是指被一个或多个如本文定义的羧基基团取代的如本文定义的烷基基团。
“羧基芳基”是指被一个或多个如本文定义的羧基基团取代的如本文定义的芳基基团。
“环状酸酐”是指3、4、5、6或7元环,除非另有说明,否则该环在环内具有-C(O)-O-C(O)-基团。术语“环状酸酐”还包括双环、三环和四环基团,其中任何上述环稠合到独立地选自芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一个单环杂环的一个、两个或三个环。示例性的环状酸酐基团包括通过去除一个或多个氢由琥珀酸酐、戊二酸酐、马来酸酐、邻苯二甲酸酐、异色满-1,3-二酮、氧杂环庚二酮(oxepanedione)、四氢邻苯二甲酸酐、六氢邻苯二甲酸酐、均苯四甲酸二酐(pyromellitic dianhydride)、萘二甲酸酐(naphthalicanhydride)、1,2-环己烷二羧酸酐等形成的自由基。其他示例性环状酸酐基团包括二氧代四氢呋喃基、二氧代二氢异苯并呋喃基等。环状酸酐基团也可以是取代的或未取代的。例如,环状酸酐基团可以被一个或多个基团(包括本文针对杂环基所述的那些基团)取代。
“环烯基”是指三至八个碳的一价不饱和非芳族或芳族环状烃基基团,除非另有说明,否则该基团具有一个或多个双键。环烯基基团也可以是取代的或未取代的。例如,环烯基基团可以被一个或多个基团(包括本文针对烷基所述的那些基团)取代。
除非另有说明,否则“环烷基”是指具有三至八个碳的一价饱和或不饱和的非芳族或芳族环状烃基基团,并且其示例为环丙基、环丁基、环戊基、环戊二烯基、环己基、环庚基、双环[2.2.1.]庚基等。环烷基基团也可以是取代的或未取代的。例如,环烷基基团可以被一个或多个基团(包括本文针对烷基所述的那些基团)取代。
“卤素”是指F、Cl、Br或I。
“卤代烷基”是指被一个或多个卤素取代的如本文所定义的烷基基团。
“杂烷基”是指如包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒、或卤素)的如本文所定义的烷基基团。
“杂亚烷基”是指包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒或卤素)的如本文所定义的亚烷基基团的二价形式。杂亚烷基基团可以是取代的或未取代的。例如,杂亚烷基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
杂环基”是指3、4、5、6或7元环(例如,5、6或7元环),除非另有说明,否则该环包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒或卤素)。3元环具有零到一个双键,4和5元环有零到两个双键,并且6和7元环有零到三个双键。术语“杂环基”还包括双环、三环和四环基团,其中任何上述杂环稠合到独立地选自芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一个单环杂环(例如吲哚基、喹啉基、异喹啉基、四氢喹啉基、苯并呋喃基、苯并噻吩基等)的一个、两个或三个环。杂环基基团可以是取代的或未取代的。例如,杂环基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
“烃基”是指通过从烃中除去氢原子而形成的单价基团。非限制性未取代烃基基团包括如本文定义的烷基、烯基、炔基和芳基,其中这些基团仅包括碳和氢原子。烃基基团可以是取代的或未取代的。例如,烃基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。在其他实施方案中,本文中的任何烷基或芳基基团可被如本文所定义的烃基基团取代。
“羟基”是指-OH。
“羟烷基”是指被一到三个羟基基团取代的如本文所定义的烷基基团,条件是不超过一个羟基基团可连接至该烷基基团的单个碳原子,并且其示例为羟甲基、二羟丙基等。
“羟基芳基”是指被一到三个羟基基团取代的如本文所定义的芳基基团,条件是不超过一个羟基基团可以连接至该芳基基团的单个碳原子,并且其示例为羟苯基、二羟苯基等。
“异氰酸基”是指-NCO。
“氧化基”是指-O-基团。
“氧代”是指=O基团。
“膦”是指具有烃基部分的三价或四价磷。在一些实施方案中,膦是-PRP 3基团,其中每个RP独立地是H、任选取代的烷基或任选取代的芳基。膦基团可以是取代的或未取代的。例如,膦基团可以被一个或多个取代基团(如本文针对烷基所述的基团)取代。
“硒醇”是指-SeH基团。
“碲醇”是指-TeH基团。
“硫代异氰酸基”是指-NCS。
“硫醇”是指-SH基团。
如本文所用,术语“顶部”、“底部”、“上部”、“下部”、“上方”和“下方”用于提供结构之间的相对关系。这些术语的使用并不表示或要求特定结构必须位于设备中的特定位置。
结论
公开并描述了用于掺入光刻胶底层的图案化结构和方案及相关工艺和设备,该光刻胶底层被配置为增加衬底(例如,硬掩模)和光刻胶之间的粘附力和/或减少用于在EUV光刻期间的有效光刻胶暴露的EUV剂量。
应当理解,本文描述的示例和实施方案仅用于说明目的,并且本领域技术人员将根据其提出各种修改或改变。尽管为了清楚起见省略了各种细节,但可以实现各种设计替代方案。因此,本示例被认为是说明性的而非限制性的,并且本公开不限于本文给出的细节,而是可以在本公开的范围内进行修改。
提供以下示例权利要求用于进一步说明本公开的某些实施方案。本公开不必限于这些实施方案。

Claims (80)

1.一种图案化结构,其包括:
包括部分制造的半导体器件膜堆叠的衬底;
设置在所述衬底上的辐射敏感成像层;以及
设置在所述衬底和所述成像层之间的底层,
其中所述底层包括掺杂有氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl)或任何这些项中的两种或更多种的组合的氢化碳的气相沉积膜,以及
其中所述气相沉积膜具有不超过约25 nm的厚度或约2至20 nm的厚度,
其中所述辐射敏感成像层包括氧化锡基光刻胶或氧化锡氢氧化物基光刻胶。
2.如权利要求1所述的图案化结构,其中所述衬底进一步包括设置在其上的任选地掺杂的无定形碳硬掩模。
3.如权利要求1所述的图案化结构,其中所述底层包括0-30原子%的氧(O)和/或约20-50原子%的氢(H);和/或其中所述底层的表面包括羟基基团、羧基基团、过氧基基团、sp2碳、sp碳和/或不饱和的含碳键。
4.如权利要求1所述的图案化结构,其中掺杂有碘的所述氢化碳在暴露于辐射时改进二次电子的生成。
5.如权利要求1所述的图案化结构,其中所述底层包括约0.7至2.9 g/cm3的密度。
6.如权利要求1所述的图案化结构,其中所述底层进一步包括在暴露于辐射时被释放的贝塔氢原子和/或与所述成像层中的原子形成氧键的氧原子。
7.如权利要求1所述的图案化结构,其中所述成像层包括极紫外(EUV)敏感无机光刻胶层、化学气相沉积(CVD)膜、旋涂膜、氧化锡膜或氧化锡氢氧化物膜。
8.如权利要求1所述的图案化结构,其中所述成像层包括基于金属有机物的抗蚀剂。
9.如权利要求8所述的图案化结构,其中所述成像层包括锡。
10.如权利要求8所述的图案化结构,其中所述成像层包括有机锡。
11.如权利要求1所述的图案化结构,其中所述成像层包括旋涂成像层。
12.如权利要求11所述的图案化结构,其中所述旋涂成像层包括锡。
13.如权利要求11所述的图案化结构,其中所述旋涂成像层包括有机锡。
14.如权利要求11所述的图案化结构,进一步包括在所述衬底和所述底层之间的硬掩模。
15.如权利要求14所述的图案化结构,其中所述硬掩模是可灰化的。
16.如权利要求1所述的图案化结构,其中所述底层具有0.7-1.4g/cm3的密度。
17.一种制作图案化结构的方法,所述方法包括:
提供衬底;
在所述衬底上沉积底层;以及
在所述底层上形成辐射敏感成像层,其中
所述衬底为部分制造的半导体器件膜堆叠;
所述衬底进一步包括或为硬掩模、无定形碳膜、无定形氢化碳膜、氧化硅膜、氮化硅膜、氮氧化硅膜、碳化硅膜、硼氮化硅膜、无定形硅膜、多晶硅膜或其组合;
所述成像层包括氧化锡基光刻胶或氧化锡氢氧化物基光刻胶;以及
所述底层包括掺杂有氧(O)、硅(Si)、氮(N)、钨(W)、硼(B)、碘(I)、氯(Cl) 或任何这些项中的两种或更多种的组合的氢化碳的气相沉积膜,其中所述气相沉积膜具有不超过25nm的厚度,以及其中所述底层被配置为增加所述衬底与光刻胶之间的粘附力和/或减少用于有效光刻胶暴露的辐射剂量。
18.如权利要求17所述的方法,其中在存在或不存在碳氧化物前体下使用烃前体将所述底层气相沉积在所述衬底上,由此提供含碳膜;并且任选地其中所述碳氧化物前体与氢(H2)或烃共反应并且任选地进一步与硅(Si)源掺杂剂共反应。
19.如权利要求18所述的方法,其中所述烃前体包括烷烃、烯烃或炔烃。
20.如权利要求18所述的方法,其中在含氮前体、含钨前体、含硼前体和/或含碘前体的存在下使用所述烃前体来气相沉积所述底层,由此提供掺杂膜。
21.如权利要求20所述的方法,其中所述掺杂膜包括碘;碘和硅的组合;或碘、硅和氮的组合。
22.如权利要求17所述的方法,其中通过使用与氧化剂共反应的含硅(Si)前体将所述底层气相沉积在所述衬底上,并且其中所述含Si前体任选地进一步与碳(C)源掺杂剂共反应。
23.如权利要求17所述的方法,其中所述沉积进一步包括以0 W至约1000 W的偏置功率施加偏置并且使用约5%至100%的占空比。
24.如权利要求23所述的方法,其中与不施加所述偏置而形成的底层相比,所述施加偏置提供具有增加的密度的所述底层。
25.如权利要求17所述的方法,其中通过PECVD将所述底层气相沉积在所述衬底上作为在所述衬底上的气相沉积的终止操作。
26.如权利要求17所述的方法,其中通过等离子体增强化学气相沉积(PECVD)或原子层沉积(ALD)将所述底层气相沉积在所述衬底上。
27.如权利要求17所述的方法,进一步包括在所述沉积之后,对所述底层进行改性以提供粗糙表面并且任选地将所述底层或所述粗糙表面暴露于含氧等离子体以提供含氧表面。
28.如权利要求17所述的方法,其中掺杂有碘的所述氢化碳在暴露于辐射时改进二次电子的生成。
29.如权利要求17所述的方法,其中所述底层包括约0-30原子%的氧(O)和/或约20-50原子%的氢(H);和/或其中所述底层的表面包括羟基基团、羧基基团、过氧基基团、sp2碳、sp碳和/或不饱和的含碳键。
30.如权利要求17所述的方法,其中所述底层包括约0.7至2.9 g/cm3的密度。
31.如权利要求17所述的方法,其中所述底层进一步包括在暴露于辐射时被释放的贝塔氢原子和/或与所述成像层中的原子形成氧键的氧原子。
32.如权利要求17所述的方法,其中所述沉积包括等离子体增强化学气相沉积(PECVD)工艺。
33.如权利要求17所述的方法,其中所述成像层包括极紫外(EUV)敏感无机光刻胶层、化学气相沉积(CVD)膜、旋涂膜、氧化锡膜或氧化锡氢氧化物膜。
34.如权利要求17所述的方法,其中所述在所述底层上形成辐射敏感成像层包括将成像层气相沉积在所述底层上或将成像层旋涂在所述底层上。
35.如权利要求34所述的方法,其中所述成像层包括基于金属有机物的抗蚀剂。
36.如权利要求35所述的方法,其中所述成像层包括锡。
37.如权利要求35所述的方法,其中所述成像层包括有机锡。
38.如权利要求34所述的方法,其中所述成像层包括旋涂成像层。
39.如权利要求38所述的方法,其中所述成像层包括锡。
40.如权利要求38所述的方法,其中所述成像层包括有机锡。
41.如权利要求34所述的方法,进一步包括,在所述在所述底层上形成辐射敏感成像层之后:
将所述成像层暴露于极紫外(EUV)辐射。
42.如权利要求41所述的方法,进一步包括,在所述暴露之后:
使用干显影来显影所述成像层。
43.如权利要求41所述的方法,进一步包括,在所述暴露之后:
使用湿显影来显影所述成像层。
44.如权利要求43所述的方法,其中使用碱性显影剂、乙二醇醚、有机酸、酮或醇进行所述湿显影。
45.如权利要求43所述的方法,其中使用四甲基氢氧化铵(TMAH)进行所述湿显影。
46.如权利要求43所述的方法,其中使用丙二醇甲基醚(PGME)或丙二醇甲基醚乙酸酯(PGMEA)进行所述湿显影。
47.如权利要求43所述的方法,其中使用有机酸进行所述湿显影。
48.如权利要求43所述的方法,其中使用2-庚酮进行所述湿显影。
49.如权利要求43所述的方法,其中使用乙醇进行所述湿显影。
50.如权利要求34所述的方法,进一步包括,在所述旋涂之后:
在90-250℃的温度下进行施加后烘烤。
51.如权利要求41所述的方法,进一步包括,在所述暴露之后:
在90-250℃的温度下进行暴露后烘烤。
52.如权利要求43所述的方法,进一步包括,在所述显影之后:
在90-250℃的温度下执行显影后烘烤。
53.如权利要求17所述的方法,进一步包括:
在所述衬底和所述底层之间提供硬掩模。
54.如权利要求53所述的方法,其中所述硬掩模是可灰化的硬掩模。
55. 一种沉积底层的方法,所述方法包括:
在处理室中提供衬底;以及
通过等离子体增强化学气相沉积(PECVD)工艺在所述衬底的表面沉积氢化碳膜,其中所述氢化碳膜具有0.7-1.4g/ cm3的密度。
56.如权利要求55所述的方法,其中所述PECVD工艺包括引入选自甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丙炔(C3H4)、丙二烯(C3H4)、环丙烯(C3H4)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6) 和甲苯(C7H8)的含碳前体。
57.如权利要求56所述的方法,其中所述PECVD工艺进一步包括引入含氮前体、含钨前体、含硼前体和/或含碘前体,由此提供掺杂膜。
58.如权利要求55所述的方法,其中所述PECVD工艺包括变压器耦合等离子体(TCP)或电感耦合等离子体(ICP)。
59.如权利要求58所述的方法,其中TCP功率在没有偏置的情况下约为100-1000 W。
60.如权利要求58所述的方法,其中所述PECVD工艺进一步包括约10-1000 mTorr的压强和/或约0-100℃的温度。
61.如权利要求58所述的方法,其中所述PECVD工艺进一步包括所施加的包括约10-1000 W功率的脉冲偏置或所施加的包括约10-500 W功率的连续波偏置。
62.如权利要求61所述的方法,其中所施加的脉冲偏置包括约1-99%的占空比和约10-2000 Hz的脉冲频率。
63.如权利要求55所述的方法,进一步包括在所述沉积之后,将所述氢化碳膜改性以提供粗糙表面,并且任选地将所述粗糙表面暴露于含氧等离子体以提供含氧表面。
64.如权利要求55所述的方法,进一步包括,在所述沉积之后:
在所述氢化碳膜上气相沉积或旋涂成像层,其中所述成像层包含基于金属有机物的抗蚀剂。
65.如权利要求64所述的方法,其中所述成像层包括锡。
66.如权利要求64的方法,其中所述成像层包括有机锡。
67.如权利要求64所述的方法,进一步包括,在所述旋涂之后:
将所述成像层暴露于极紫外(EUV)辐射。
68.如权利要求67所述的方法,进一步包括,在所述暴露之后:
使用干显影来显影所述成像层。
69.如权利要求67所述的方法,进一步包括,在所述暴露之后:
使用湿法显影来显影所述成像层。
70.如权利要求69所述的方法,其中使用碱性显影剂、乙二醇醚、有机酸、酮或醇进行所述湿法显影。
71.如权利要求69所述的方法,其中使用四甲基氢氧化铵(TMAH)进行所述湿法显影。
72.如权利要求69所述的方法,其中使用丙二醇甲基醚(PGME)或丙二醇甲基醚乙酸酯(PGMEA)进行所述湿法显影。
73.如权利要求69所述的方法,其中使用有机酸进行所述湿法显影。
74.如权利要求69所述的方法,其中使用2-庚酮进行所述湿法显影。
75.如权利要求69所述的方法,其中使用乙醇进行所述湿法显影。
76.如权利要求64所述的方法,进一步包括,在所述旋涂之后:
在90-250℃的温度下进行施加后烘烤。
77.如权利要求67所述的方法,进一步包括,在所述暴露之后:
在90-250℃的温度下进行暴露后烘烤。
78.如权利要求69所述的方法,进一步包括,在所述显影之后:
在90-250℃的温度下进行显影后烘烤。
79.如权利要求55所述的方法,进一步包括:
在所述衬底和氢化碳膜之间提供硬掩模。
80.如权利要求79所述的方法,其中所述硬掩模是可灰化的硬掩模。
CN202180002531.2A 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层 Active CN113574456B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202310506176.3A CN116705595A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层
CN202111256563.3A CN114200776A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062961647P 2020-01-15 2020-01-15
US62/961,647 2020-01-15
PCT/US2021/012953 WO2021146138A1 (en) 2020-01-15 2021-01-11 Underlayer for photoresist adhesion and dose reduction

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN202310506176.3A Division CN116705595A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层
CN202111256563.3A Division CN114200776A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层

Publications (2)

Publication Number Publication Date
CN113574456A CN113574456A (zh) 2021-10-29
CN113574456B true CN113574456B (zh) 2023-05-26

Family

ID=76864797

Family Applications (3)

Application Number Title Priority Date Filing Date
CN202111256563.3A Pending CN114200776A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层
CN202180002531.2A Active CN113574456B (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层
CN202310506176.3A Pending CN116705595A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202111256563.3A Pending CN114200776A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310506176.3A Pending CN116705595A (zh) 2020-01-15 2021-01-11 用于光刻胶粘附和剂量减少的底层

Country Status (8)

Country Link
US (2) US11314168B2 (zh)
EP (1) EP3908882A4 (zh)
JP (3) JP7189375B2 (zh)
KR (3) KR102431292B1 (zh)
CN (3) CN114200776A (zh)
SG (1) SG11202108851RA (zh)
TW (2) TWI795094B (zh)
WO (1) WO2021146138A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20210156841A (ko) * 2019-05-16 2021-12-27 램 리써치 코포레이션 2 차 전자 생성을 위한 가변하는 평균 자유 경로들을 갖는 중간 층 또는 멀티-층 스택을 사용하는 EUV (extreme ultraviolet) 리소그래피
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN115398347A (zh) * 2020-02-04 2022-11-25 朗姆研究公司 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer
US20230317452A1 (en) * 2022-03-31 2023-10-05 Nanya Technology Corporation Hard mask structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography
US20230408916A1 (en) * 2022-06-06 2023-12-21 Inpria Corpoartion Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023243410A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
EP4350437A1 (fr) * 2022-10-07 2024-04-10 Richemont International S.A. Procédé de fabrication d'un moule pour la formation de pièces métalliques par croissance métallique
WO2024118304A1 (en) * 2022-11-30 2024-06-06 Lam Research Corporation Metal doped carbon non-conformal deposition
US20240184207A1 (en) * 2022-12-06 2024-06-06 Applied Materials, Inc. Euv photoresist and underlayer adhesion modulation

Family Cites Families (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4061829A (en) 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
US4940854A (en) 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5094936A (en) 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
DE69130594T2 (de) 1990-06-29 1999-05-06 Fujitsu Ltd Verfahren zur Erzeugung eines Musters
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
KR960000375B1 (ko) 1991-01-22 1996-01-05 가부시끼가이샤 도시바 반도체장치의 제조방법
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
US6013418A (en) 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6045877A (en) 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6057587A (en) 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
US6179922B1 (en) 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
ATE368756T1 (de) 1998-09-16 2007-08-15 Applied Materials Inc Verfahren zum aufbringen von silizium mit hoher rate bei niedrigen druck
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
JP2000356857A (ja) 1999-06-15 2000-12-26 Toshiba Electronic Engineering Corp パターン形成装置
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
JP2002118096A (ja) 2000-10-06 2002-04-19 Sony Corp アッシング装置
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
JP2003280155A (ja) 2002-03-22 2003-10-02 Fuji Photo Film Co Ltd 自動現像装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
EP2317382B1 (en) 2002-04-11 2016-10-26 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050142885A1 (en) 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
EP1556737B1 (en) 2002-10-21 2008-12-31 Nanoink, Inc. Methods for fabrication of nanometer-scale engineered structures for mask repair application
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
US8383316B2 (en) 2006-07-10 2013-02-26 Pixelligent Technologies, Llc Resists for lithography
JP2006522480A (ja) 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US20040203256A1 (en) 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
WO2005029188A1 (ja) * 2003-09-24 2005-03-31 Hitachi Chemical Co., Ltd. 感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法
US7307695B2 (en) 2003-10-10 2007-12-11 Asml Netherlands B.V. Method and device for alignment of a substrate
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
CN102610481B (zh) 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
US7885387B2 (en) 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100601979B1 (ko) 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) * 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) * 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7682659B1 (en) 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
CN101180532A (zh) 2006-04-20 2008-05-14 多弗电子股份有限公司 用于恶劣环境的涂层以及使用所述涂层的传感器
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5132920B2 (ja) 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
EP2105798A4 (en) 2007-01-17 2012-02-08 Sony Corp DEVELOPMENT SOLUTION AND METHOD FOR PRODUCING A FINE STRUCTURED MATERIAL
KR101392291B1 (ko) * 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
EP2203943A4 (en) 2007-10-12 2015-10-14 Omnipv Inc SOLAR MODULES WITH INCREASED EFFICIENCIES THROUGH THE USE OF SPECTRAL CONCENTRATORS
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
WO2009080610A1 (en) * 2007-12-20 2009-07-02 Nv Bekaert Sa A substrate coated with amorphous hydrogenated carbon
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP4978501B2 (ja) 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090286397A1 (en) 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
WO2010007955A1 (ja) 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
KR20110046439A (ko) 2008-07-24 2011-05-04 코비오 인코포레이티드 알루미늄 잉크 및 이의 제조 방법, 알루미늄 잉크 증착 방법 및 알루미늄 잉크의 인쇄 및/또는 증착에 의해 형성된 필름
US8435723B2 (en) 2008-09-11 2013-05-07 Nikon Corporation Pattern forming method and device production method
JP5194129B2 (ja) 2008-10-14 2013-05-08 旭化成株式会社 熱反応型レジスト材料、それを用いた熱リソグラフィ用積層体及びそれらを用いたモールドの製造方法
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP4880004B2 (ja) 2009-02-06 2012-02-22 東京エレクトロン株式会社 基板処理システム
JP2010239087A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) * 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
JPWO2011040385A1 (ja) 2009-09-29 2013-02-28 東京エレクトロン株式会社 Ni膜の成膜方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
KR101810702B1 (ko) 2009-12-28 2017-12-19 아사히 가라스 가부시키가이샤 감광성 조성물, 격벽, 컬러 필터 및 유기 el 소자
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP2013526061A (ja) 2010-04-30 2013-06-20 アプライド マテリアルズ インコーポレイテッド スタック欠陥率を改善するアモルファスカーボン堆積法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US8183500B2 (en) 2010-12-03 2012-05-22 Uvtech Systems, Inc. Orthogonal beam delivery system for wafer edge processing
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5842338B2 (ja) 2011-02-17 2016-01-13 セイコーエプソン株式会社 波長可変干渉フィルター、光モジュール、および電子機器
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
KR101295791B1 (ko) 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 설비 및 기판 처리 방법
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
CN103649830B (zh) 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8968989B2 (en) 2011-11-21 2015-03-03 Brewer Science Inc. Assist layers for EUV lithography
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
EP2851192A4 (en) 2012-05-14 2015-12-23 Konica Minolta Inc GASPERRFILM, METHOD FOR THE PRODUCTION OF GASPERRFILMS AND ELECTRONIC DEVICE
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6034598B2 (ja) 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
EP2934775B1 (en) 2012-12-18 2021-03-17 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
KR101860243B1 (ko) 2013-11-08 2018-05-21 도쿄엘렉트론가부시키가이샤 Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
JP6364361B2 (ja) 2014-02-21 2018-07-25 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、並びに、半導体デバイス、リソグラフィ用マスク及びナノインプリント用テンプレートの製造方法
KR102402923B1 (ko) 2014-02-24 2022-05-27 도쿄엘렉트론가부시키가이샤 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102312211B1 (ko) 2014-02-26 2021-10-14 닛산 가가쿠 가부시키가이샤 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체장치의 제조방법
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
CN106662816B (zh) 2014-07-08 2020-10-23 东京毅力科创株式会社 负性显影剂相容性的光致抗蚀剂组合物及使用方法
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
KR101994793B1 (ko) 2014-09-02 2019-07-01 후지필름 가부시키가이샤 패턴 형성 방법, 전자 디바이스의 제조 방법, 레지스트 조성물, 및 레지스트막
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6314779B2 (ja) 2014-10-01 2018-04-25 東京エレクトロン株式会社 液処理方法、記憶媒体及び液処理装置
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
KR102517882B1 (ko) 2015-03-09 2023-04-03 버슘머트리얼즈 유에스, 엘엘씨 저항성 랜덤 액세스 메모리로서 사용하기 위한 다공성 유기실리케이트 유리 막을 증착시키는 방법
JP6404757B2 (ja) 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
KR20170135896A (ko) 2015-04-02 2017-12-08 도쿄엘렉트론가부시키가이샤 듀얼 주파수 용량성 결합 플라즈마(ccp)를 사용한 euv 내성이 있는 트렌치 및 홀 패터닝
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
JP6494417B2 (ja) 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102346372B1 (ko) 2015-10-13 2021-12-31 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102170659B1 (ko) 2015-11-25 2020-10-27 오사카 유니버시티 레지스트패턴 형성방법 및 레지스트재료
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6517678B2 (ja) 2015-12-11 2019-05-22 株式会社Screenホールディングス 電子デバイスの製造方法
WO2017109040A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Method for removing photosensitive material on a substrate
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
GB201603988D0 (en) * 2016-03-08 2016-04-20 Semblant Ltd Plasma deposition method
CN108780739B (zh) 2016-03-11 2023-09-15 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
US11315798B2 (en) 2016-04-08 2022-04-26 Intel Corporation Two-stage bake photoresist with releasable quencher
EP3451059A4 (en) 2016-04-28 2019-04-03 Mitsubishi Gas Chemical Company, Inc. COMPOSITION FOR FORMING A RESISTANT LAYER, LITHOGRAPHY LAYER AND STRUCTURAL FORMING METHOD
CN109154772B (zh) 2016-05-19 2023-11-07 Asml荷兰有限公司 抗蚀剂组合物
US10378105B2 (en) 2016-05-31 2019-08-13 Tokyo Electron Limited Selective deposition with surface treatment
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
KR102329105B1 (ko) 2016-08-12 2021-11-18 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
WO2018061670A1 (ja) 2016-09-29 2018-04-05 富士フイルム株式会社 処理液、および積層体の処理方法
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102047538B1 (ko) * 2017-02-03 2019-11-21 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
JP2020095068A (ja) 2017-03-31 2020-06-18 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10553409B2 (en) 2017-05-12 2020-02-04 Tokyo Electron Limited Method of cleaning plasma processing apparatus
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN108962986B (zh) 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6852566B2 (ja) 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102067081B1 (ko) * 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
WO2019099981A2 (en) 2017-11-20 2019-05-23 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
SG11202005150YA (en) * 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
WO2019111727A1 (ja) 2017-12-06 2019-06-13 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
WO2019125952A1 (en) 2017-12-18 2019-06-27 Tokyo Electron Limited Plasma treatment method to enhance surface adhesion for lithography
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
US11347154B2 (en) 2018-02-13 2022-05-31 Asml Netherlands B.V. Cleaning a structure surface in an EUV chamber
CN111742262A (zh) 2018-02-22 2020-10-02 株式会社大赛璐 基板亲水化处理剂
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
US20210013034A1 (en) 2018-05-11 2021-01-14 Lam Research Corporation Methods for making euv patternable hard masks
KR20200142601A (ko) 2018-05-16 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋
KR20210014121A (ko) 2018-05-29 2021-02-08 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN112368645A (zh) 2018-06-13 2021-02-12 布鲁尔科技公司 用于euv光刻的粘附层
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
JP7295891B2 (ja) 2018-06-21 2023-06-21 インプリア・コーポレイション モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6597872B2 (ja) 2018-11-13 2019-10-30 東京エレクトロン株式会社 基板処理方法
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US20200174374A1 (en) 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
CN109521657A (zh) * 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
CN113227909A (zh) 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
SG11202109293XA (en) 2019-02-25 2021-09-29 Univ Texas Large area metrology and process control for anisotropic chemical etching
KR20210129739A (ko) 2019-03-18 2021-10-28 램 리써치 코포레이션 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소
KR20210134072A (ko) 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
US11935758B2 (en) 2019-04-29 2024-03-19 Lam Research Corporation Atomic layer etching for subtractive metal etch
US20220216050A1 (en) 2019-04-30 2022-07-07 Lam Research Corporation Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
US20220244645A1 (en) 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
CN114365044A (zh) 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
TW202113506A (zh) 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
KR20220031649A (ko) 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
CN114270266A (zh) 2019-06-28 2022-04-01 朗姆研究公司 具有多个图案化辐射吸收元素和/或竖直组成梯度的光致抗蚀剂
KR20210007862A (ko) 2019-07-09 2021-01-20 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
US20220365434A1 (en) 2019-10-02 2022-11-17 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
WO2021072042A1 (en) 2019-10-08 2021-04-15 Lam Research Corporation Positive tone development of cvd euv resist films
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN115398347A (zh) 2020-02-04 2022-11-25 朗姆研究公司 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
KR20220147617A (ko) 2020-03-02 2022-11-03 인프리아 코포레이션 무기 레지스트 패터닝을 위한 공정 환경
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US20230152701A1 (en) 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR20220164031A (ko) 2020-04-03 2022-12-12 램 리써치 코포레이션 Euv 리소그래피 성능을 향상시키기 위한 사전 노출 포토레지스트 경화
KR20230041688A (ko) 2020-06-22 2023-03-24 램 리써치 코포레이션 금속-함유 포토레지스트 (photoresist) 증착을 위한 표면 개질
WO2021262529A1 (en) 2020-06-22 2021-12-30 Lam Research Corporation Dry backside and bevel edge clean of photoresist
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
WO2022010809A1 (en) 2020-07-07 2022-01-13 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
US20230288798A1 (en) 2020-07-17 2023-09-14 Lam Research Corporation Photoresists containing tantalum
JP2023535349A (ja) 2020-07-17 2023-08-17 ラム リサーチ コーポレーション 感光性ハイブリッド膜の形成方法
CN116194838A (zh) 2020-07-17 2023-05-30 朗姆研究公司 利用有机共反应物的干式沉积光致抗蚀剂
KR20230050333A (ko) 2020-07-17 2023-04-14 램 리써치 코포레이션 금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들
US20230266664A1 (en) 2020-07-17 2023-08-24 Lam Research Corporation Photoresists from sn(ii) precursors
CN115598943A (zh) 2020-11-13 2023-01-13 朗姆研究公司(Us) 用于干法去除光致抗蚀剂的处理工具
WO2022125388A1 (en) 2020-12-08 2022-06-16 Lam Research Corporation Photoresist development with organic vapor

Also Published As

Publication number Publication date
CN116705595A (zh) 2023-09-05
TW202138905A (zh) 2021-10-16
JP2023138626A (ja) 2023-10-02
JP2022101465A (ja) 2022-07-06
US20220035247A1 (en) 2022-02-03
TW202212958A (zh) 2022-04-01
KR102539806B1 (ko) 2023-06-05
US20220043334A1 (en) 2022-02-10
JP2022534843A (ja) 2022-08-04
JP7189375B2 (ja) 2022-12-13
US11988965B2 (en) 2024-05-21
EP3908882A1 (en) 2021-11-17
KR20220115628A (ko) 2022-08-17
KR20210135004A (ko) 2021-11-11
CN114200776A (zh) 2022-03-18
KR20210124492A (ko) 2021-10-14
WO2021146138A1 (en) 2021-07-22
CN113574456A (zh) 2021-10-29
EP3908882A4 (en) 2022-03-16
SG11202108851RA (en) 2021-09-29
JP7419326B2 (ja) 2024-01-22
TWI828961B (zh) 2024-01-11
KR102431292B1 (ko) 2022-08-09
US11314168B2 (en) 2022-04-26
TWI795094B (zh) 2023-03-01

Similar Documents

Publication Publication Date Title
CN113574456B (zh) 用于光刻胶粘附和剂量减少的底层
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN107680903A (zh) 用于半导体图案化应用的掺杂ald膜
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
WO2022016127A1 (en) Photoresists from sn(ii) precursors
CN116134381A (zh) 含钽光致抗蚀剂
TW202417974A (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
TW202401131A (zh) 含金屬光阻的顯影後處理
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202246893A (zh) 具有量子效率之光阻及其方法
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
WO2021202198A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant