JP2022534843A - フォトレジスト接着および線量低減のための下層 - Google Patents

フォトレジスト接着および線量低減のための下層 Download PDF

Info

Publication number
JP2022534843A
JP2022534843A JP2021560945A JP2021560945A JP2022534843A JP 2022534843 A JP2022534843 A JP 2022534843A JP 2021560945 A JP2021560945 A JP 2021560945A JP 2021560945 A JP2021560945 A JP 2021560945A JP 2022534843 A JP2022534843 A JP 2022534843A
Authority
JP
Japan
Prior art keywords
film
underlayer
substrate
precursor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021560945A
Other languages
English (en)
Other versions
JP7189375B2 (ja
Inventor
タン・サマンサ・エス.エイチ.
シュエ・ジュン
マヌンピル・メアリー・アン
ユー・ジェンジー
リー・ダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to JP2021176082A priority Critical patent/JP7419326B2/ja
Publication of JP2022534843A publication Critical patent/JP2022534843A/ja
Application granted granted Critical
Publication of JP7189375B2 publication Critical patent/JP7189375B2/ja
Priority to JP2023126668A priority patent/JP2023138626A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【解決手段】本開示は、一般に、下層およびイメージング層を備えたパターニング構造、ならびに、その方法および装置に関する。特定の実施形態において、下層は、イメージング層の放射線吸収率および/またはパターニング性能を高める。【選択図】図2D

Description

参照による援用
PCTリクエストフォームが、本願の一部として本明細書と同時に提出される。同時に提出されるPCTリクエストフォームで特定されるように本願が利益または優先権を主張する各出願は、参照によって本明細書にそれらの全体が全ての目的で組み込まれる。
本開示は、一般に、半導体処理の分野に関し、特に、極紫外線(EUV)フォトレジスト(PR)リソグラフィ技術および材料に関する。
半導体加工が進歩し続けるにつれ、フィーチャサイズが縮小し続け、新しい処理方法が求められる。進歩している1つの領域は、例えば、リソグラフィ放射線に感受性のあるフォトレジスト材料を用いて、パターニングを行う文脈にある。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
本明細書の様々な実施形態は、基板上に下層を蒸着させるための方法、材料、装置、および、システムに関する。
第1態様において、本開示は、パターニング構造を含み、そのパターニング構造は、基板の上に配置されている放射線感受性のイメージング層と、基板とイメージング層との間に配置されている下層と、を備える。いくつかの実施形態において、下層は、基板とイメージング層との間の接着性を高め、および/または、イメージング層の効果的なフォトレジスト露光のための放射線量を低減するよう構成されている。
いくつかの実施形態において、基板は、さらに、基板上に配置されているハードマスクを備える。
いくつかの実施形態において、イメージング層は、極紫外線(EUV)感受性の無機フォトレジスト層を含む。特定の実施形態において、イメージング層は、化学蒸着(CVD)膜、原子層蒸着(ALD)膜、または、スピンオン膜である。他の実施形態において、イメージング層は、酸化スズ膜または水酸化酸化スズ膜を含む。
いくつかの実施形態において、基板は、ハードマスク、非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、であり、または、を含む。特定の実施形態において、非晶質炭素膜は、ホウ素(B)またはタングステン(W)でドープされている。
いくつかの実施形態において、下層は、25nm以下の厚さを有する。他の実施形態において、下層は、約2~20nmの厚さを有する。
特定の実施形態において、下層は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素を含む。特定の実施形態において、下層は、約0~30原子%の酸素(O)および/または約20~50原子%の水素(H)および/または30~70原子%の炭素(C)を含む。他の実施形態において、下層は、放射線への露光時に二次電子の発生を改善するよう構成されているヨウ素でドープされた水素化炭素を含む。さらに他の実施形態において、下層の表面は、ヒドロキシル基(例えば、-OH)、カルボキシル基(例えば、-COH)、ペルオキシ基(例えば、-OOH)、sp炭素、sp炭素、および/または、不飽和炭素含有結合(例えば、C=Cおよび/またはC≡C結合)、を含む。
いくつかの実施形態において、下層は、約0.7~2.9g/cmの密度を有する。他の実施形態において、下層は、さらに、増大したエッチング選択比を提供する。さらに他の実施形態において、下層は、さらに、減少したラインエッジラフネスおよびライン幅ラフネスならびに/もしくは減少した線量対サイズを提供する。
特定の実施形態において、下層は、さらに、放射線への露光時に放出されるよう構成されているベータ水素原子、および/または、イメージング層内の原子への酸素結合を形成するよう構成されている酸素原子を備える。
第2態様において、本開示は、パターニング構造を含み、そのパターニング構造は、製造途中の半導体デバイス膜スタックを備える基板と、基板の上に配置されている放射線感受性のイメージング層と、基板とイメージング層との間に配置されている下層と、を備える。特定の実施形態において、下層は、O、Si、N、W、B、I、Cl、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素の蒸着膜を備え、その膜は、25nm以下の厚さまたは約2~20nmの厚さを有する。さらに他の実施形態において、基板は、さらに、基板上および/または製造途中の半導体デバイス膜スタック上に配置されている非晶質炭素ハードマスクを備える。いくつかの実施形態において、非晶質炭素ハードマスクは、ドープされている。
第3態様において、本開示は、パターニング構造を形成する方法を含み、その方法は、基板を準備し、基板上に下層(例えば、本明細書に記載の任意の下層)を蒸着させ、下層上に放射線感受性のイメージング層を形成すること、を備える。いくつかの実施形態において、下層は、基板とフォトレジストとの間の接着性を高め、および/または、効果的なフォトレジスト露光のための放射線量を低減するよう構成されている。
いくつかの実施形態において、基板は、製造途中の半導体デバイス膜スタックである。他の実施形態において、基板は、さらに、基板および/または製造途中の半導体デバイス膜スタック上に配置されているハードマスク、非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、を含み、イメージング層は、酸化スズ系フォトレジストまたは水酸化酸化スズ系フォトレジストを含み、下層は、O、Si、N、W、B、I、Cl、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素の蒸着膜を備え、その膜は、25nm以下の厚さを有する。
いくつかの実施形態において、下層は、炭化水素前駆体を用いて基板上に蒸着され、それにより、炭素含有膜を提供する。特定の実施形態において、炭化水素前駆体は、アルカン、アルケン、アルキン、または、本明細書に記載のその他の炭化水素前駆体、を含む。他の実施形態において、下層は、オキソカーボン前駆体(例えば、炭素および酸素原子を含む本明細書に記載の任意の前駆体)の存在下または非存在下で炭化水素前駆体を用いて蒸着される。
さらに他の実施形態において、下層は、窒素含有前駆体、タングステン含有前駆体、ホウ素含有前駆体、および/または、ヨウ素含有前駆体の存在下で炭化水素前駆体を用いて蒸着され、それにより、ドープ膜を提供する。いくつかの実施形態において、ドープ膜は、ヨウ素、ヨウ素およびシリコンの組み合わせ、もしくは、ヨウ素、シリコン、および、窒素の組み合わせ、を含む。
いくつかの実施形態において、下層は、水素(H)または炭化水素と共反応するオキソカーボン前駆体を用いて基板上に蒸着される。他の実施形態において、オキソカーボン前駆体は、Hまたは炭化水素と反応し、任意選択的に、Si源ドーパントとさらに共反応する。特定の実施形態において、下層は、酸化剤(例えば、オキソカーボンまたはO含有前駆体)と共反応するSi含有前駆体を用いて基板上に蒸着されてよい。さらなる実施形態において、Si含有前駆体は、さらに、C源ドーパント(例えば、炭化水素前駆体)と共反応する。
いくつかの実施形態において、蒸着させることは、さらに、0W~約1000W(例えば、0~500W、0~400W、または、0~300W)のバイアス電力でバイアスを印加し、約1%~100%または約5%~100%のデューティサイクルを利用すること、を含む。特定の実施形態において、バイアスを印加することは、バイアスを印加することなしに形成された下層と比べて高い密度を有する下層を提供する。
いくつかの実施形態において、下層は、基板への蒸着の終了動作として、プラズマ強化化学蒸着(PECVD)によって基板上に蒸着される。他の実施形態において、下層は、PECVDまたはALDによって基板上に蒸着される。
さらなる実施形態において、方法は、(例えば、蒸着させた程後に)、粗面を提供するために層を改質することを含む。いくつかの実施形態において、改質することは、下層の表面の非反応性イオン衝撃によるスパッタリングで、粗面を提供することを含んでよい。非限定的な非反応性イオンは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、または、その他の非反応性種を含みうる。他の実施形態において、改質することは、酸素含有表面を提供するために下層表面または粗面を酸素含有プラズマに暴露させることを備えてもよい。非限定的な酸素含有プラズマは、二酸化炭素(CO)、酸素(O)、または、水(HOとして、または、HおよびOの混合物として)を含みうる。
第4態様において、本開示は、下層を蒸着させる方法を含み、その方法は、処理チャンバ内に基板を準備し、PECVD処理によって、基板の表面上に水素化炭素膜を蒸着させること、を備え、水素化炭素膜は、低密度膜である。いくつかの実施形態において、基板は、ハードマスクであり、または、ハードマスクを含む。
いくつかの実施形態において、PECVD処理は、メタン(CH)、アセチレン(C)、エチレン(C)、プロピレン(C)、プロピン(C)、アレン(C)、シクロプロペン(C)、ブタン(C10)、シクロヘキサン(C12)、ベンゼン(C)、および、トルエン(C)、から選択された炭素含有前駆体を導入することを含む。他の実施形態において、PECVD処理は、さらに、窒素含有前駆体、タングステン含有前駆体、ホウ素含有前駆体、および/または、ヨウ素含有前駆体を導入することにより、ドープ膜を提供することを含む。
いくつかの実施形態において、PECVD処理は、トランス結合プラズマ(TCP)または誘導結合プラズマ(ICP)を含む。特定の実施形態において、TCP電力は、バイアスなしで約100~1000Wである。他の実施形態において、PECVD処理は、さらに、約10~1000mTorrの圧力および/または約0~100℃の温度を含む。さらに他の実施形態において、PECVD処理は、さらに、約10~1000Wの電力を有する印加パルスバイアス、または、約10~500Wの電力を有する印加連続波バイアスを含む。さらなる実施形態において、印加パルスバイアスは、約1~99%のデューティサイクルと、約10~2000Hzのパルス化周波数と、を備える。
さらなる実施形態において、方法は、(例えば、蒸着させた後に)、粗面を提供するために水素化炭素膜を改質することを含む。いくつかの実施形態において、改質することは、膜の表面の非反応性イオン衝撃によるスパッタリングで、粗面を提供することを含んでよい。非限定的な非反応性イオンは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、または、その他の非反応性種を含みうる。他の実施形態において、改質することは、酸素含有表面を提供するために膜表面または粗面を酸素含有プラズマに暴露させることを備えてもよい。非限定的な酸素含有プラズマは、二酸化炭素(CO)、酸素(O)、または、水(HOとして、または、HおよびOの混合物として)を含みうる。
第5態様において、本開示は、基板を処理するための装置を特徴とし、その装置は、基板支持体を備える処理チャンバと、処理チャンバおよび関連する流量制御ハードウェアに接続されている処理ガス源と、処理チャンバに接続されている基板ハンドリングハードウェアと、プロセッサおよびメモリを有するコントローラと、を備え、プロセッサおよびメモリは、互いに通信可能に接続されている。特定の実施形態において、プロセッサは、流量制御ハードウェアおよび基板ハンドリングハードウェアと少なくとも動作可能に接続されている。
特定の実施形態において、基板支持体は、チャックまたはペデスタルであってよい。他の実施形態において、装置は、処理チャンバ内への1または複数のガス流入口であって、ガス流入口は、処理ガス源および関連する流量制御ハードウェアに流体接続されている、ガス流入口と、処理チャンバおよび関連する流量制御ハードウェアから材料を除去するための1または複数のガス流出口と、を備える。
いくつかの実施形態において、メモリは、本明細書に記載の方法に記載された操作を実行するためのコンピュータ実行可能な命令を格納している。一実施形態において、コンピュータ実行可能な命令は、基板または基板上に配置されているハードマスクを準備させるためのマシン読み取り可能な命令と、基板および/またはハードマスク上に下層(例えば、本明細書に記載の任意のもの)を蒸着させるためのマシン読み取り可能な命令と、下層上に放射線感受性のイメージング層(例えば、本明細書に記載の任意のもの)を形成させるためのマシン読み取り可能な命令と、を含む。
別の実施形態において、コンピュータ実行可能な命令は、基板またはハードマスクの表面上に水素化炭素膜(例えば、本明細書に記載の任意のもの)をPECVDによって蒸着させるためのマシン読み取り可能な命令を含む。さらなる実施形態において、コンピュータ実行可能な命令は、水素化炭素膜上に放射線感受性のイメージング層(例えば、本明細書に記載の任意のもの)を形成させるためのマシン読み取り可能な命令を含む。
特定の実施形態において、下層を蒸着させることは、1または複数の前駆体(例えば、炭化水素前駆体、オキソカーボン前駆体、C含有前駆体、O含有前駆体、Si含有前駆体、N含有前駆体、W含有前駆体、B含有前駆体、I含有前駆体、または、Cl含有前駆体)、ならびに/もしくは、1または複数の処理ガス(例えば、本明細書に記載の任意のもの)を導入または供給することを含む。
他の実施形態において、下層を蒸着させることは、プラズマ(例えば、トランス結合プラズマ(TCP、誘導結合プラズマ(ICP)、または、容量結合プラズマ(CCP))を含む・特定の実施形態において、プラズマは、約100~1000Wの電力、約10~1000mTorrの圧力、および/または、約0~100℃の温度を有するTCPまたはICPである。さらに他の実施形態において、プラズマは、さらに、印加パルスバイアス(例えば、約10~1000Wの電力)、または、印加連続波バイアス(例えば、約10~500Wの電力)を含む。
いくつかの実施形態において、イメージング層を形成させることは、高パターニング放射線吸収断面積を有する元素を蒸着させることを含む。特定の実施形態において、元素は、高EUV吸収断面積(例えば、1×10cm/モル以上)を有する。
他の実施形態において、イメージング層を形成させることは、1または複数の前駆体(例えば、化学式(I)、(II)、(IIa)、(III)、(IV)、(V)、(VI)、(VII)、または、(VIII)を有する構造)を導入または供給することを含む。いくつかの実施形態において、イメージング層を形成させることは、さらに、対反応物の存在下で1または複数の前駆体を供給することを含んでもよい。非限定的な対反応物は、酸素(O)、オゾン(O)、水、過酸化物、過酸化水素、酸素プラズマ、水プラズマ、アルコール、ジヒドロキシアルコール、ポリヒドロキシアルコール、フッ素化ジヒドロキシアルコール、フッ素化ポリヒドロキシアルコール、フッ素化グリコール、ギ酸、および、その他のヒドロキシル部分の供給源、ならびに、それらの組み合わせなど、酸素含有対反応物を含む。
本明細書の任意の実施形態において、基板は、製造途中の半導体デバイス膜スタックであり、または、それを含む。
本明細書の任意の実施形態において、基板は、ハードマスクである。他の実施形態において、基板は、ハードマスクを含む。さらに他の実施形態において、基板は、ワークピース上に配置されている(例えば、ウエハ、半導体ウエハ、スタック、製造途中の集積回路、製造途中の半導体デバイス膜スタック、膜、表面、などの上に配置されている)ハードマスクを含む。非限定的な例において、ハードマスクは、非晶質炭素ハードマスクを含み、それは、任意選択的にドープされてもよい。
本明細書の任意の実施形態において、イメージング層は、EUV感受性の無機フォトレジスト層を含む。特定の実施形態において、イメージング層は、酸化スズ膜、水酸化酸化スズ膜、酸化スズ系フォトレジスト、または、水酸化酸化スズ系フォトレジストを含む。他の実施形態において、イメージング層は、EUV感受性膜、DUV感受性膜、UV感受性膜、フォトレジスト膜、フォトパターニング可能な膜を含む。
本明細書の任意の実施形態において、基板は、ハードマスク、非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、であり、または、を含む。いくつかの実施形態において、ハードマスクは、非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、であり、または、を含む。
本明細書の任意の実施形態において、下層は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素を含む。
本明細書の任意の実施形態において、下層または下層表面は、ヒドロキシル基(例えば、-OH)、カルボキシル基(例えば、-COH)、ペルオキシ基(例えば、-OOH)、sp炭素、sp炭素、および/または、不飽和炭素含有結合(例えば、C=Cおよび/またはC≡C結合)、を含む。
本明細書の任意の実施形態において、下層は、ドープ膜を含む。特定の実施形態において、ドープ膜は、I、IおよびSiの組み合わせ、もしくは、I、Si、および、Nの組み合わせ、を含む。いくつかの実施形態において、ドープ膜は、Cl、ClおよびSiの組み合わせ、もしくは、Cl、Si、および、Nの組み合わせ、を含む。他の実施形態において、ドープ膜は、N、NおよびSiの組み合わせ、もしくは、N、Si、および、Oの組み合わせ、を含む。さらに他の実施形態において、ドープ膜は、BまたはWを含む。
本明細書の任意の実施形態において、下層は、0~30原子%のO(例えば、1~30%、2~30%、または、4~30%)、約20~50原子%のH(例えば、20~45%、30~50%、または、30~45%)、および/または、30~70原子%のC(例えば、30~60%、30~65%、または、30~68%)を含む。
本明細書の任意の実施形態において、下層は、約1.5g/cm未満の密度または約0.7~1.4g/cmの密度を有する。さらに他の実施形態において、ドープ膜は、約0.7~1.4g/cmの密度を有する。
本明細書の任意の実施形態において、下層は、さらに、増大したエッチング選択比を提供する。さらに他の実施形態において、下層は、さらに、減少したラインエッジラフネスおよびライン幅ラフネスならびに/もしくは減少した線量対サイズを提供する。特定の実施形態において、下層は、さらに、放射線への露光時に放出されるよう構成されているベータ水素原子、および/または、イメージング層内の原子への酸素結合を形成するよう構成されている酸素原子を備える。
本明細書の任意の実施形態において、蒸着することは、蒸気形態の前駆体を提供または蒸着することを含む。他の実施形態において、蒸着することは、蒸気形態の1または複数の対反応物を提供することを含む。特定の実施形態において、蒸着することは、CVD、ALD、または、それらのプラズマ強化形態(例えば、PECVD)を含む。
本明細書の任意の実施形態において、蒸着することは、本明細書に記載の1または複数の前駆体を供給または導入することを含んでよい。非限定的な前駆体は、炭化水素前駆体、オキソカーボン前駆体、および/または、ドーパント前駆体(例えば、O含有前駆体、Si含有前駆体、N含有前駆体、W含有前駆体、B含有前駆体、I含有前駆体、または、Cl含有前駆体)を含む。また、蒸着することは、不活性ガス、一酸化炭素(CO)、二酸化炭素(CO)、ヘリウム(He)、アルゴン(Ar)、クリプトン(Kr)、ネオン(Ne)、窒素(N)、水素(H)、または、それらの組み合わせなど、1または複数の処理ガスを供給または導入することを含んでもよい。
本明細書の任意の実施形態において、蒸着することは、プラズマを提供することを含んでよい。提供することは、PECVD処理を含んでよい。非限定的なプラズマ処理は、TCP、ICP、または、CCPを含みうる。他の非限定的な処理条件は、>1ミリトール(mTorr)(例えば、約5~1000mTorr)の圧力、<400ワット(W)(例えば、約10~3000W)の電力レベル、および/または、<200℃(例えば、約0~100℃)の温度、を含む。プラズマが、0.3~600MHzで動する高周波(RF)源を用いて、約10~3000Wの電力で生成されてよい。バイアスが、本明細書の記載のように印加パルスバイアス(例えば、約10~1000Wの電力)または印加連続波バイアス(例えば、約10~500Wの電力)を用いて印加されてよい。
本発明の他の特徴および利点については、以下の説明および特許請求の範囲から明らかになる。
非限定的な方法100の処理フローチャート。
本明細書に記載の加工段階におけるパターニング構造の例を示す概略図。 本明細書に記載の加工段階におけるパターニング構造の例を示す概略図。 本明細書に記載の加工段階におけるパターニング構造の例を示す概略図。 パターニング構造の例を示す概略図であり、イメージング層218と下層216との間の可能な相互作用を示す断面図。 パターニング構造の例を示す概略図であり、イメージング層内での非限定的な反応の図。 パターニング構造の例を示す概略図であり、イメージング層228と下層226との間の非限定的な反応の図。
アッシャブルハードマスク(AHM)、下層の2つの実施形態(実施形態1および2)、ならびに、スピンオンカーボン(SOC)膜を含む様々な実施形態について膜特性を示す図。クリティカルディメンション走査型電子顕微鏡写真(CDSEM)、および、バイアスのないライン幅ラフネス(LWRunbi)に対するラインクリティカルディメンション(LnCD)の比(LnCD/LWRunbiとして示される比)などのパラメータが提供されている。
下層の非限定的な実施形態(実施形態1および3)について密度に対するパルスバイアスの効果を示す図。グラフに示すように、0W、70W、または、140Wのバイアス電力と、0%(バイアス電力を印加せず)、10%、20%、30%、40%、または、50%とを用いて生成された膜が提供されている。
下層の非限定的な実施形態(実施形態3)についてアンダーカットに対するパルスバイアスの効果を示す図。線量対サイズ(DtS)、パルスバイアス(140WのRFバイアス電力と、10%または50%のデューティサイクル(DC)とが示されている)、CDSEM、LnCD、空間幅ラフネス(SpWR)に対するバイアスなしのLWRの比(バイアスなしLWR/SpWRとして示される比)、SpWRの様々な周波数成分(低周波数(LF)、中間周波数(MF)、および、高周波数(HF)成分を含み、LF/MF/HFspwrとして示されている)、および、アンダーカットの特徴などのパラメータが提供されている。
下層(AL412)および下層(実施形態1、4、および、5)として用いられたNドープされたスピンオンカーボン膜の非限定的な実施形態についてエッチング速度を示す図。
下層の非限定的な実施形態(実施形態11、12、および、1)についてエッチング速度を示す図。
乾式現像のための処理ステーション300の一実施形態を示す概略図。
マルチステーション処理ツール400の一実施形態を示す概略図。
誘導結合プラズマ装置500の一実施形態を示す概略図。
半導体処理クラスタツールアーキテクチャ600の一実施形態を示す概略図。
乾式蒸着装置700の一例を示す概略断面図。
上部プレート、基板、および、エッジリングの一部を示す詳細な断平面図。
ここでは、本開示の具体的な実施形態を詳細に参照する。具体的な実施形態の例が、添付の図面に図示されている。本開示は、これらの具体的な実施形態に関連して記載されているが、かかる具体的な実施形態に本開示を限定する意図はないことを理解されたい。逆に、本開示の精神および範囲内に含まれうる代替物、変形物、および、等価物を網羅することを意図している。以下の説明では、本開示の完全な理解を促すために、数多くの具体的な詳細事項が示されている。本開示は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、本開示が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
極紫外線(EUV)リソグラフィ(典型的には、13.5nmの波長のもの)が、次のリソグラフィパターニング実現技術であると考えられている。しかしながら、多くの技術的障害が、この技術の幅広い導入および実施を遅らせてきた。EUVフォトレジスト(PR)が、障害の1つである。
従来の化学増幅レジスト(CAR)は、コスト効率のよいアプローチを提供する。しかし、有機ポリマCARは、ラインエッジラフネス(LER)およびライン幅ラフネス(LWR)を生み出し、ポリマの利用のランダム変動により、感度および分解能に限界を有する。最近の研究および開発の努力は、新しいEUV無機フォトレジストプラットフォームの開発に集中していた。かかるシステムは、ポリマベースのCARシステムと比較していくつかの利点を提供する。これらの無機フォトレジストは、一般に、金属水酸化酸化物など金属酸化物に基づいている。金属酸化物の分子のサイズが小さいことが、パターニング工程の最終的な分解能を高め、金属酸化物フォトレジストは、一般に、CARよりも高いエッチング耐性を示し、これにより、PR厚さを低減して構造のアスペクト比を小さくすることができる。
しかしながら、無機PRに関しては様々な課題もある。EUV PRがパターニングのために塗布されるハードマスク膜スタックにおいて、しばしば、スピンオンカーボン(SOC)ハードマスク材料が用いられる。しかし、SOCは、エッチング耐性が低くLWRが悪い軟質炭素(C)リッチな膜を有する。酸化シリコン(例えば、二酸化シリコン、SiO)、窒化シリコン、および、アッシャブルハードマスク(AHM)など、一般的なハードマスク材料が、より良好なエッチング選択比ならびに良好なLERおよびLWRのために、PRの真下で利用されうる。しかし、特に、EUV露光されたPRの湿式現像後の、EUV PRとハードマスク材料との間の剥離が、根強い問題になっている。そして、EUV光子の約20パーセントのみが、典型的なPRによって吸収され、これは、大量の一次電子および二次電子が、しばしば、PR下層において生み出されることを意味する。線量対サイズ(DtS:Dose to Size)のデータから、同じラインクリティカルディメンションを分解するために、SOC上のEUV無機PRよりも、一般的なハードマスク材料上に直接蒸着されたEUV無機PRの方が、高い線量が必要とされることが示された。
本明細書に記載するように、EUV無機PRの真下に配置されている薄い下層膜が、膜スタックEUV無機PRの性能の改善を可能にする。この下層があれば、結果として得られる多重層(例えば、二重層)ハードマスクスキームは、SOCスタックの真上のEUV無機PRと比較して、同等以上のDtS性能を有する。そして、下層は、ハードマスク膜の組成にかかわらず、EUV無機PRとハードマスクとの間の接着層としても機能することが可能であり、これは、エッチング選択比およびLER/LWR性能の改善を可能にする。ハードマスク以外の表面が、下層の下に用いられてよく、その場合、下層は、EUV PRと任意の有用な基板(例えば、ハードマスク、ウエハ、製造途中の半導体デバイス膜スタック、など)と間の接着層として機能しうる。
後に詳述するように、適切な下層薄膜は、化学蒸着(CVD)、プラズマ強化化学蒸着(PECVD)、原子層蒸着(ALD)、プラズマ強化原子層蒸着(PEALD)、または、その他の蒸着方法(例えば、スパッタ蒸着、PVD同時スパッタリングを含む物理蒸着(PVD)による方法)によって蒸着されうる。下層蒸着処理は、エッチングツール(例えば、カリフォルニア州フレモントのLam Research社製のKiyo(登録商標)またはFlex(登録商標))もしくは蒸着ツール(例えば、Lam Striker(登録商標))のいずれかで実施されうる。いくつかの実施例において、それは、ハードマスク蒸着処理における終了工程として統合されてよい。膜スタックに応じて、下層の様々な膜組成を選択可能である。
また、本開示は、EUVリソグラフィを例とするリソグラフィパターニング技術および材料に関するが、その他の次世代リソグラフィ技術にも適用可能であることを理解されたい。現在利用および開発されている標準的な13.5nmEUV波長を含むEUVに加えて、かかるリソグラフィに最も適切な放射源は、DUV(深UV)(一般に、248nmまたは193nmエキシマレーザ源の利用を指す)、X線(正式には、X線域の比較的低いエネルギ域のEUVを含む)、および、eビーム(広いエネルギ域を網羅できる)である。かかる方法は、露出したヒドロキシル基を有する基板が、ヒドロカルビル置換スズキャッピング剤に接触されて、基板の表面上にヒドロカルビル終端酸化スズ(SnOx)膜をイメージング層/PR層として形成する方法を含む。具体的な方法は、半導体基板及び最終的な半導体デバイスに利用される特定の材料および用途に依存しうる。したがって、本願に記載の方法は、現行技術で利用されうる方法および材料の例示にすぎない。
図1は、本開示の一態様としてパターニング構造を形成する方法の処理フローを示す。方法100は、基板を準備する工程101を備える。基板は、例えば、任意の適切な方法で加工されたハードマスク、膜、スタック、製造途中の半導体デバイス膜スタック、などであってよい。いくつかの実施形態において、基板は、ワークピース(製造途中の半導体デバイス膜スタックなど)上に配置されているハードマスクを備えてよい。膜スタックの最上層の上のハードマスクは、SiO、窒化シリコン、アッシャブルハードマスク材料など、様々な組成を有してよく、化学蒸着(例えば、PECVD)によって形成されてよい。非晶質炭素膜で構成されたアッシャブルハードマスクが、いくつかの実施例において望ましい。この文脈での非晶質炭素膜は、非ドープであってもよいし、例えば、ホウ素(B)またはタングステン(W)でドープされていてもよい。適切な非晶質炭素膜は、例えば、約50~80原子%の炭素(C)と、10~20原子%の水素(H)と、5~40原子%のBまたはWドーパントと、を含む組成を有してよい。
さらに他の基板が用いられてもよい。例えば、基板は、非晶質水素化炭素、酸化シリコン、窒化シリコン、酸窒化シリコン、炭化シリコン、ホウ窒化シリコン、非晶質シリコン、ポリシリコン、または、本明細書に記載した任意のものの組みあわせを、任意の形態(例えば、バルク膜、薄膜、別の膜、スタック、など)であってよく、または、含んでよい。
工程103で、フォトレジスト下層が、基板上に蒸着される。下層は、基板と、後に形成されるEUV感受性無機フォトレジストとの間の接着を高めると共に、フォトレジストの効果的なEUV露光のためのEUV線量を低減するよう構成されていてよい。下層は、O、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの任意のものの組みあわせ(例えば、SiおよびOの組みあわせ)でドープされた水素化炭素の蒸着膜であってよく、または、その蒸着膜を含んでよい。一実施形態において、膜は、(例えば、炭素原子を提供するための)炭化水素前駆体と、(例えば、ドーピング非炭素原子を提供するための)ドーパント前駆体とを導入または供給することによって蒸着される。別の実施形態において、膜は、蒸着後にドープ膜を提供するヘテロ原子含有前駆体(ヨウ素含有前駆体など)を導入または供給することによって蒸着される。特に、ヨウ素でドープされた水素化炭素膜は、EUV放射線への露光時の二次電子の発生を改善しうる。さらに、かかる下層を提供するための非限定的な前駆体およびドーパントが、本明細書に記載されている。
膜は、約25nm以下の厚さを有しうる。例えば、フォトレジスト下層は、約2~20nm(例えば、2、3、4、5、6、7、8、9、10、15、または、20nm)の厚さであってよく、任意選択的に、約0~30原子%のOおよび/または約20~50原子%の水素(H)および/または30~70原子%のCを含んでよい。その他の下層の特性も、本明細書に記載されている。
いくつかの実施例において、下層は、炭化水素前駆体を用いてPECVDまたはALDによって基板上に蒸着されてよく、炭化水素前駆体は、一酸化炭素(CO)および/または二酸化炭素(CO)を伴い、または、伴わない場合がある。特定の実施形態において、蒸着は、COなし、かつ、COなしで、炭化水素前駆体を導入または供給することを含む。
いくつかの実施形態において、下層は、水素(H)または炭化水素と共反応するオキソカーボン前駆体を用いてPECVDまたはALDによって基板上に蒸着されてよい。この実施例の変形例において、オキソカーボン前駆体は、蒸着中にSi源ドーパントとさらに共反応してもよい。特定の実施形態において、オキソカーボン前駆体は、COまたはCOを含みうる。機序によって限定されることは望まないが、オキソカーボン前駆体の利用は、下層へのヒドロキシル(-OH)基またはその他の酸素含有基を含みうるので、親水性表面、または、(かかる-OHまたは酸素含有基を欠く下層と比較して)高い親水性を有する表面を提供しうる。非限定的な例において、親水性表面は、下層とPR層との間の接着を改善しうる。
他の実施例において、下層は、酸化剤(例えば、オキソカーボン、O含有前駆体、CO、または、CO)と共反応するSi含有前駆体を用いてPECVDまたはALDによって基板上に蒸着されてよい。この実施例の変形例において、Si含有前駆体は、さらに、C源ドーパント(例えば、本明細書に記載の炭化水素前駆体)と共反応する。シラン、ハロシラン、アミノシラン、アルコキシシラン、オルガノシランなど、非限定的なSi含有前駆体が、本明細書に記載されている。
いくつかの実施例において、下層は、例えば、フォトレジスト下層の所望の組成を達成するために、PECVD処理チャンバに入る前駆体の流れを調整することにより、基板への蒸着の終了動作として、PECVDによって基板上に蒸着されてよい。
他の実施例において、下層は、水素化炭素膜を提供するために、PECVDによって基板上に蒸着されてよい。いくつかの実施形態において、膜は、低密度膜(例えば、0.7~2.9g/cm)である。他の実施形態において、非ドープ膜(またはドープ前の膜)は、約1.5g/cm未満の密度または約0.7~1.4g/cmの密度を有する。さらに他の実施形態において、ドープ膜は、約0.7~1.4g/cmの密度を有する。
PECVD処理は、任意の有用な前駆体または前駆体の組みあわせを含みうる。一実施形態において、前駆体は、炭化水素前駆体(例えば、本明細書に記載されている任意のもの)である。任意選択的に、ドープ水素化炭素膜が、PECVD処理中に、ヘテロ原子含有前駆体(例えば、窒素含有前駆体、タングステン含有前駆体、ホウ素含有前駆体、および/または、ヨウ素含有前駆体)を用いることによって形成される。
下層の蒸着は、トランス結合プラズマ(TCP)、誘導結合プラズマ(ICP)、または、容量結合プラズマ(CCP)など、(例えば、PECVD処理のように)プラズマの利用を含みうる。特定の実施形態において、蒸着は、低密度膜を提供するために、最小限のバイアス(例えば、バイアスなし)で低TCP電力(例えば、約100~1000W)を利用できる。もちろん、より高い電力のプラズマを、本明細書に記載のように利用することもできる。特定の実施形態において、プラズマ(例えば、TCPまたはICP)の生成は、電力によって制御可能であり、電力は、連続波(CW)モードである。
(例えば、CWモードのTCPまたはICP電力での)蒸着は、約1%~99%のデューティサイクルで(例えば、約1Hz~約10kHz(10~2000Hzなど)の範囲で)パルス化された(周波数にかかわらない)印加バイアスを含みうる。さらなるパルス周波数およびデューティサイクルが本明細書に記載されている。いくつかの実施形態において、印加パルスバイアスは、イオンエネルギを制御するために提供されうる。非限定的な印加パルスバイアス電力は、約10~1000W、および、本明細書に記載の他の範囲であってもよい。
さらに他の実施形態において、蒸着は、印加CWバイアスを含んでもよい。CWバイアスも、イオンエネルギを制御するために利用できる。いくつかの実施形態において、印加CWバイアス電力は、10~1000W(例えば、10~500W、10~400W、および、本明細書に記載のその他の範囲)であってよい。
(例えば、低密度膜に有用な)さらに他の条件は、特定の圧力条件の利用(例えば、5~1000ミリトール(mTorr)(10~1000mTorr、10~500mTorr、または、10~400mTorrなど))と、特定の温度条件(例えば、約0~100℃(0~50℃および10~40℃など))の利用と、を含む。
パルスバイアスまたは連続バイアスが、膜の特性を微調整するために利用可能である。一実施形態において、パルスバイアスは、0Wのバイアス電力で準備された低密度膜と比較して高い密度の膜を提供できる。かかる高密度膜は、いくつかの例において、低密度膜と比較すると、高いエッチング耐性を提供できる。他の例において、かかる高密度膜は、0Wのバイアス電力で準備された低密度膜と比較してアンダーカットの低減を提供できる。さらなるプラズマ条件および処理も、本明細書に記載されている。
図1に再び戻ると、工程105で、放射線感受性イメージング層が、下層上に形成される。イメージング層は、例えば、EUV感受性無機フォトレジストを含みうる。適切なEUV感受性無機フォトレジストは、EUV感受性の酸化スズ系のフォトレジストなど、金属酸化物膜でありうる。かかるレジスト(イメージング層とも呼ばれる)ならびにそれらの形成および利用については、例えば、2019年5月9日に出願され、WO2019/217749号として公開された国際特許出願PCT/US2019/031618号「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」、および、2019年11月11日に出願され、WO2020/102085号として公開された国際特許出願PCT/US2019/060742号「METHODS FOR MAKING HARD MASKS USEFUL IN NEXT GENERATION LITHOGRAPHY」に記載されており、EUVレジストマスクを形成するための直接フォトパターニング可能な有機金属ベースの金属酸化物膜の組成、蒸着、および、パターニングに関するそれらの開示が、参照によって本明細書に組み込まれる。それらに記載されているように、様々な実施形態によれば、EUV感受性無機フォトレジストは、スピンオン膜または蒸着膜であってよい。
図2A~図2Cは、本明細書に記載する非限定的なパターニング構造の加工における段階を示している。図2Cに示すパターニング構造は、基板202(例えば、ウエハまたは製造途中の半導体デバイス膜スタック)上に配置されているハードマスク204を有する。イメージング層208が、ハードマスク204の上に配置されている。そして、下層206が、ハードマスク204とイメージング層208との間に配置されている。下層206は、ハードマスクとイメージング層との間の接着性を高めると共に効果的なフォトレジスト露光のための放射線量を低減するよう構成可能である。
記載されている実施形態に従ったテスト構造において、本明細書に記載するように、下層がある場合の非晶質炭素AHM上のEUV PRのDtS性能は、SOC上のEUV PRと同等またはそれよりも良好であり、一部の例では、必要な線量を10%以上低減する。さらに、ハードマスク二重層(フォトレジスト下層を備えた非晶質炭素AHM)からのEUV PRの現像後の剥離が観察されない。
下層206は、さらに、エッチング選択比を高め、および/または、構造におけるラインエッジラフネスおよびライン幅ラフネス(LER/LWR)を低減しうる。記載されている実施形態に従ったテスト構造において、LER/LWRは、AHMまたはSOC上のEUV PRに対して約25%以上改善された。
図2A~図2Cを再び参照すると、図2Cの構造の加工の実施例が、図2A~図2Bに示されている。図1を参照して上述したもののように、図2Aは、基板202上に配置されているハードマスク204を示し、図2Bは、ハードマスク204上に配置されている下層206を示している。
スタック内での下層の利用は、特性を改善しうる。一例において、下層とイメージング層との間の相互作用が、DtSの低減を提供する。図2Dに見られるように、パターニング構造は、基板212の表面上に配置されているハードマスク214、および、イメージング層218とハードマスク214との間に配置されている下層216を備える。可能な相互作用は、イメージング層218から下層216への金属(M)原子218Aの移動(または拡散)、および/または、下層216からイメージング層218への水素(H)原子216Aの移動(または拡散)を含む。機序によって限定されることは望まないが、かかる移動イベントは、下層とイメージング層との間の生産的な相互作用を提供し、ひいては、接着性および/またはDtSの改善に寄与しうる。
さらに、下層およびイメージング層の組成は、好ましい反応を促進するよう設計されることができ、ひいては、DtSを改善しうる。例えば、図2Eに見られるように、イメージング層は、放射線開裂可能な配位子を有するスズ系フォトレジストを含みうる。放射線(例えば、EUV)への露光時に、配位子(R)が、スズ(Sn)中心から脱離され、Sn-H結合がその場所に形成される。露光後ベーク(PEB)工程の後、Sn-H結合は、さらに熱活性化された架橋反応に関与し、それにより、露光済みフォトレジストと未露光のフォトレジストとの間の材料特性の差を増大させる。
したがって、一例において、図2Fに見られるように、下層226は、EUV放射線への露光時に、放出可能なH原子を提供して、それにより、反応済み配位子(R*)を形成する配位子(R)を含むことができる。可能なR基は、例えば、直鎖または分岐鎖でありうる任意選択的に置換されたアルキル基を含む。イメージング層228において、EUV開裂可能な配位子Rは、脱離した配位子R*および反応性金属中心Snを提供する。下層226から放出されたH原子は、イメージング層228内のSn-H結合の形成を促進することにより、DtSを低減しうる。下層が酸素(O)原子も含む場合、かかる原子は、イメージング層内でM-O結合(例えば、Sn-O結合)を形成することができ、DtSをさらに低減しうる。さらに、イメージング層228からのSn原子は、下層226へ拡散することにより、さらなる二次電子の発生を可能としうる。
実施例1:下層の乾式蒸着
下層は、任意の有用な方法で蒸着可能である。一例において、蒸着は、炭化水素前駆体または炭素含有前駆体(例えば、本明細書に記載の任意のもの)の蒸着を含む。蒸着は、蒸着中の(例えば、プラズマとしてまたは不活性ガスとしての)処理ガスの利用を含みうる。ここで、非限定的な処理ガスは、一酸化炭素(CO)、ヘリウム(He)、アルゴン(Ar)、クリプトン(Kr)、ネオン(Ne)、窒素(N)、および/または、水素(H)を含む。
蒸着条件は、処理チャンバ内の前駆体流量、ガス流量、処理圧、温度(例えば、静電チャック(ESC)温度)、プラズマ(例えば、TCP)電力、バイアス電力、および、デューティサイクル(DC)の制御を含む。前駆体の流量は、約1~100標準立方センチメートル毎分(sccm)であってよい。ガスの流量は、約1~1600sccmであってよい。チャンバ圧は、約5~1000mTorr(例えば、5~800mTorr、10~500mTorr、10~400mTorr、30~500mTorr、10~1000mTorr、または、30~1000mTorr)であってよい。ESC温度は、約0~100℃(例えば、0~50℃または10~40℃)であってよい。プラズマを生成するために用いられる電力は、ステーションあたり約10~3000W(例えば、100~1000W、200~1000W、200~800W、または、200~500W)であってよい。プラズマを生成するために用いられるRF周波数は、約0.3~600MHz(例えば、13.56MHz、60MHz、27MHz、2MHz、400kHz、または、それらの組み合わせ)であってよい。RFバイアス電力は、パルスプラズマまたは連続波(CW)プラズマを用いて、約0~1000Wであってよい。処理チャンバは、ICPチャンバまたはCCPチャンバであってよい。ICPチャンバのいくつかの実施形態において、上部ICP発生器およびバイアス発生器の両方の周波数は、13.5MHzである。下層に応じて、いくつかの実施形態において、圧力は約10~400mTorrであってよく、TCP電力は約200~500Wであってよい。
表1は、非限定的な下層の処理レジームの例を提供する。実施形態1については、炭化水素前駆体はメタン(CH)であり、別のガスはHeである。実施形態2については、炭化水素前駆体はCHであり、処理ガスは、CO、H、および、Heを含む。
Figure 2022534843000002
図3は、実施形態1および実施形態2の性能の特徴を、AHMおよびSOC層と比較して提供する。一実施形態において、実施形態1の下層の利用は、DtSを(例えば、5%、10%、15%、20%、25%、30%、または、それより大きく)改善する。表2は、下層のエッチング耐性を、AHMと比較して提供しており、ここで、下層は、AHMと比較して、高い相対エッチング速度を示した。また、膜組成が、x線光電子分光法(XPS)によって決定された。下層(実施形態1および2)は、AHMと比較して、HおよびOの含有量が高かった。
Figure 2022534843000003
実施例2:下層の蒸着に利用するパルスバイアス処理
さらなる処理が、下層のエッチング耐性を改善するめに開発された。特に、バイアス電力が、下層の密度を変更するために用いられた。例えば、下層の蒸着は、約1%~99%のDCで(例えば、約1Hz~約10kHzの範囲で)パルス化された(周波数にかかわらない)バイアスを含みうる。かかるバイアスは、約10~500Wなど、任意の有効電力で提供されうる。
プラズマパルス化は周期の反復を含んでよく、各周期は持続時間Tにわたって持続してよいことが理解される。持続時間Tは、所与の期間中に、パルスONタイムの持続時間(プラズマがON状態である持続時間)と、プラズマOFFタイムの持続時間(プラズマがOFF状態である持続時間)と、を含む。パルス周波数は、1/Tとして理解される。例えば、プラズマパルス周期T=100μsに対して、周波数は、1/T=1/100μsすなわち10kHzである。デューティサイクルまたはデューティ比は、周期Tにおいて、プラズマがON状態である割合またはパーセンテージであり、デューティサイクルまたはデューティ比は、パルスONタイムをTで割った値になる。例えば、プラズマパルス周期T=100μsに対して、パルスONタイムが70μsであり(つまり、プラズマが1周期中にON状態である持続時間が70μsであり)、パルスOFFタイムが30μsである(つまり、プラズマが1周期中にOFF状態である持続時間が30μsである)場合、デューティサイクルは70%である。
さらに他の蒸着条件は、処理チャンバ内の前駆体流量、ガス流量、処理圧、温度(例えば、ESC温度)、プラズマ電力、バイアス電力、パルス周波数、DC、および、TCCTパラメータの制御を含みうる。前駆体の流量は、約1~100sccmであってよい。処理ガスの流量は、約1~1600sccmであってよい。チャンバ圧は、約5~1000mTorr(例えば、5~800mTorr、10~500mTorr、10~400mTorr、30~500mTorr、10~1000mTorr、または、30~1000mTorr)であってよい。ESC温度は、約0~100℃(例えば、0~50℃または10~40℃)であってよい。プラズマを生成するために用いられる電力は、約10~3000W(例えば、100~1000W、200~1000W、200~800W、または、200~500W)であってよい。プラズマを生成するために用いられるRF周波数は、約0.3~600MHz(例えば、13.56MHz、60MHz、27MHz、2MHz、400kHz、または、それらの組み合わせ)であってよい。RFバイアス電力は、1~100%(例えば、1~99%)(ここで、100%はCWを示す)のDCでパルスプラズマを用いて、約10~1000Wであってよい。RFバイアス電力は、約10~2000Hzの周波数など、5000Hz未満でパルス化されてよい。TCCTパラメータは、0.1~1.5であってよい。いくつかの非限定的な処理において、プラズマ暴露は、高周波数(HF)RF成分(例えば、一般に、約2~60MHz)および低周波数(LF)RF成分(例えば、一般に、約100kHz~2MHz)を含みうる。処理チャンバは、ICPチャンバまたはCCPチャンバであってよい。
表3は、パルスバイアス処理(実施形態3)で形成された非限定的な下層についての処理レジームの例を提供する。様々な下層膜が、実施形態3を用いて形成され、ここで、バイアス電力は、70Wまたは140Wのいずれかであり、DCは、10~50%で変更された。かかる非限定的な膜の密度が、図4に提供されている。0Wバイアスで形成された膜と比較すると、パルスバイアス処理は、高い密度(例えば、約1.09g/cm)を有する膜を提供した。このように、下層の密度は、バイアス電力を追加することによって微調整できる。いくつかの例において、密度の高い膜は、低いエッチング速度を提供することにより、エッチング耐性を改善しうる。
Figure 2022534843000004
パルス化処理は、密度の増大、エッチング速度の低下、および/または、エッチング耐性の上昇に加えて、膜の他の特性を改善しうる。図5に見られるように、パルス化処理は、DtSを犠牲にすることなしにアンダーカットを低減できる。処理条件は、30sccmのCH流量、660sccmのHe流量、150mTorrの圧力、20℃のESC温度、400WのTCP電力、140Wのバイアス電力、100Hzのバイアス周波数、10%のDC(図5の左側の膜)または50%(図5の右側の膜)、ならびに、1.4のTCCTを含んだ。
実施例3:ドープ下層の蒸着
下層は、1または複数のドーパント(例えば、炭化水素が用いられる場合、非炭素ドーパント)を含んでよい。ドーパントは、(例えば、炭素原子を提供するための)炭化水素前駆体と、(例えば、ドーピング非炭素原子を提供するための)別個のドーパント前駆体と、を用いて提供されてよい。別の実施形態において、ドーパントは、炭素原子およびヘテロ原子を含む単一のドーパント前駆体を用いて提供される。非限定的な非炭素ヘテロ原子は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの任意の組みあわせを含む。その他のドーパントおよびヘテロ原子含有ドーパント前駆体についても、本明細書に記載されている。
ドーパントの利用は、いくつかの例において、エッチング耐性を改善しうる。本明細書の処理レジームのいずれでも、下層にドーパントを組み込むよう変形可能である。例えば、蒸着は、ドーパント前駆体(例えば、本明細書に記載の任意のもの)の利用を含むことができ、前駆体のための本明細書に記載の処理レジーム(例えば、流量、圧力、温度、プラズマ電力、バイアス電力、パルス周波数、ディーティサイクル、TCCT、など)は、一般に、ドーパント前駆体に利用できる。
例えば、前駆体(例えば、炭化水素前駆体および/またはドーパント前駆体)の流量は、約1~100sccmであってよい。処理ガスの流量は、約1~1600sccmであってよい。チャンバ圧は、約5~1000mTorr(例えば、5~800mTorr、10~500mTorr、10~400mTorr、30~500mTorr、10~1000mTorr、または、30~1000mTorr)であってよい。ESC温度は、約0~100℃(例えば、0~50℃または10~40℃)であってよい。プラズマを生成するために用いられる電力は、約10~3000W(例えば、100~1000W、200~1000W、200~800W、または、200~500W)であってよい。プラズマを生成するために用いられるRF周波数は、約0.3~600MHz(例えば、13.56MHz、60MHz、27MHz、2MHz、400kHz、または、それらの組み合わせ)であってよい。RFバイアス電力は、約1~99%のDCを有するパルスプラズマまたはCWプラズマ(100%のDC)を用いて、約0~1000Wであってよい。RFバイアス電力は、約10~2000Hzの周波数など、5000Hz未満でパルス化されてよい。TCCTパラメータは、0.1~1.5であってよい。処理チャンバは、ICPチャンバまたはCCPチャンバであってよい。
一例において、ドーパントは、Nドープ下層を提供するために、窒素(N)であり、または、窒素を含む。非限定的なN含有前駆体は、窒素(N)、アンモニア(NH)、ヒドラジン(N)、アミン、および、アミノシランなど、本明細書に記載の任意のものを含みうる。一例において、Nドープ下層は、炭化水素前駆体およびN含有前駆体を同時に流すことによって形成される。
表4は、非限定的なNドープ下層の処理レジームの例を提供する。実施形態4について、炭化水素前駆体はCHであり、N含有前駆体はNである。実施形態5について、炭化水素前駆体はCHであり、N含有前駆体はNHである。
Figure 2022534843000005
特定の実施形態において、Nドープ下層は、(例えば、フーリエ変換赤外分光(FTIR)スペクトルにおいて約3500~3100cm-1および/または約1635cm-1にピークを持つ)N-H結合、および/または、(例えば、FTIRスペクトルにおいて約2260~2222cm-1、約2244cm-1、および/または、約2183cm-1にピークを持つ)C≡N結合、の存在によって特徴付けられうる。
ドープ下層のエッチング速度は、いくつかの例で改善されうる。図6は、非ドープ下層(実施形態1)、ドーパント前駆体としてNを用いた第1Nドープ下層(実施形態4)、ドーパント前駆体としてNHを用いた第2Nドープ下層(実施形態5)、および、AL412下層のエッチング速度を提供する。非限定的なエッチング条件は、圧力=5mTorr、TCP=350W、TCCT=2、バイアス電力=0V、CHの流量=10sccm、Oの流量=60sccm、Arの流量=200sccm、および、ESC温度=30℃を有するICPチャンバの利用を含んだ。図からわかるように、炭化水素前駆体をNHドーパント前駆体と共に流すと、非ドープ下層と比較して、下層のエッチング耐性が改善した。
別の例において、ドーパントは、Wドープ下層を提供するために、タングステン(W)であり、または、タングステンを含む。非限定的なW含有前駆体は、ハロゲン化タングステン(例えば、WF、WCl、または、WCl)、タングステンカルボニル(例えば、W(CO))など、本明細書に記載の任意のものを含みうる。一例において、Wドープ下層は、炭化水素前駆体およびW含有前駆体を同時に流すことによって形成される。
特定の実施形態において、Wドープ下層は、(例えば、FTIRスペクトルにおいて約3500~3100cm-1にピークを持つ)W-OH・・・HO結合、(例えば、FTIRスペクトルにおいて約981cm-1にピークを持つ)W=O結合、および/または、(例えば、FTIRスペクトルにおいて約837cm-1、800cm-1、および/または、702cm-1にピークを持つ)W-O-W結合の存在によって特徴付けられうる。
表5は、非限定的なWドープ下層の処理レジームの例を提供する。各実施形態について、炭化水素前駆体は、CHである。実施形態6について、W含有前駆体は、1sccmの低流量のWFである。実施形態7について、W含有前駆体は、2sccmの高流量のWFである。実施形態8について、W含有前駆体は、1sccmの低流量であるが50mTorrの高圧のWFである。
Figure 2022534843000006
ドープ下層の密度が増大されうる。表6は、下層の屈折率(633nmでのRI)、蒸着速度(Dep.Rate)、および、密度を提供する。実施形態7についてさらにわかるように、炭化水素前駆体をW含有ドーパント前駆体と共に流すことは、ドーパント前駆体なしで蒸着された基準と比較して、密度およびRIを増大させた。
Figure 2022534843000007
さらに別の例において、ドーパントは、Bドープ下層を提供するために、ホウ素(B)であり、または、ホウ素を含む。非限定的なB含有前駆体は、ハロゲン化ホウ素(例えば、BCl)、ボラン(例えば、B)、ホウ酸塩(例えば、B(OH))、および、有機ホウ素化合物(例えば、B(CH)など、本明細書に記載の任意のものを含みうる。一例において、Bドープ下層は、炭化水素前駆体およびB含有前駆体を同時に流すことによって形成される。
特定の実施形態において、Bドープ下層は、(例えば、FTIRスペクトルにおいて約3200cm-1にピークを持つ)B・・・OH結合、(例えば、FTIRスペクトルにおいて約1340cm-1にピークを持つ)B-O結合、および/または、(例えば、FTIRスペクトルにおいて約1194cm-1にピークを持つ)B-O-H結合の存在によって特徴付けられうる。
表7は、非限定的なBドープ下層の処理レジームの例を提供する。実施形態9について、炭化水素前駆体はCHであり、B含有前駆体はBClである。実施形態10について、蒸着条件は、実施形態9と同じであるが、膜は、さらにHで処理される。表7において、H処理条件は、圧力=5mTorr、TCP=300W、バイアス電力=100W、Hの流量=200sccm、処理時間=1秒、を含んだ。
Figure 2022534843000008
特定の実施形態において、ドープ下層の蒸着速度および密度の両方が増大されうる。表8は、下層の633nmでのRI、蒸着速度(Dep.Rate)、および、密度を提供する。実施形態9についてわかるように、炭化水素前駆体をB含有ドーパント前駆体と共に流すことは、ドーパント前駆体なしで蒸着された基準と比較して、蒸着速度および密度を増大させた。
Figure 2022534843000009
本明細書に記載するように、ドーパント前駆体は、ドープ下層を提供するために、蒸着中に利用されうる。特定の実施形態において、ドープ下層は、改善されたエッチング耐性、エッチング速度、屈折率、蒸着速度、および/または、密度など、改善した特徴を有しうる。
実施例4:様々な炭化水素前駆体の蒸着
下層は、任意の有用な前駆体で蒸着されうる。例えば、前駆体は、炭素原子および水素原子のみを有する炭化水素前駆体を含んでよい。別の例において、前駆体は、炭素原子、水素原子、および、非炭素へテロ原子を有するヘテロ原子含有炭化水素前駆体であってもよい。さらに別の例において、前駆体は、(例えば、本明細書に記載するように)ドーパント前駆体であってもよい。
炭化水素前駆体には、様々な化合物を利用できる。例えば、炭化水素前駆体は、脂肪族化合物および芳香族化合物(例えば、アルカン、アルケン、アルキン、ベンゼン、など)と、その置換体と、を含んでよい。異なる炭化水素前駆体を用いることにより、下層内の特定の化学結合のタイプおよび量を変更できる。例えば、不飽和炭化水素前駆体を用いることで、(例えば、飽和炭化水素前駆体を増量してまたは不飽和炭化水素前駆体を減量して形成された膜と比較して)、増加した不飽和結合含有量(例えば、増加したC=C結合および/またはC≡C結合含有量)、増加したsp炭素含有量、増加したsp炭素含有量、減少した飽和結合含有量(例えば、減少したC-C結合含有量)、減少したsp炭素含有量、および/または、減少したC-H結合含有量を有する下層を提供できる。炭化水素前駆体の選択は、様々な要因に依存しうる。1つの非限定的な例において、炭化水素前駆体は、(例えば、C-C、C=C、または、C≡C含有量と比較して、高いC-H結合含有量を有する)飽和前駆体を含み、これは、十分なH原子を供給できる。機序によって限定されることは望まないが、かかる前駆体の選択は、イメージング層内で原子と相互作用する放出可能なH原子を供給できるため、結果として、不飽和前駆体の利用と比較すると、DtSの改善につながる。それでも、他の非限定的な例において、炭化水素前駆体は、(例えば、C-H結合含有量と比較して、高いC-C、C=C、または、C≡C含有量を有する)不飽和前駆体を含む。機序によって限定されることは望まないが、かかる前駆体の選択は、飽和前駆体の利用と比較して、エッチング耐性を高めることができる。
特定の実施形態において、下層は、(例えば、FTIRスペクトルにおいて約3310cm-1にピークを持つ)C=CH結合および/または(例えば、FTIRスペクトルにおいて約1650~1600cmー1または1000~660cm-1にピークを持つ)C=C結合の存在によって特徴付けられうる。
表9は、非限定的な炭化水素前駆体の処理レジームの例を提供する。実施形態1について、炭化水素前駆体は、CHである。実施形態11について、炭化水素前駆体は、アセチレン(C)である。実施形態12について、炭化水素前駆体は、プロピン(C)である。異なるプラズマタイプを利用できる(例えば、ICPまたはCCP)。一例において、ICPが、イオンエネルギおよびイオン密度の独立制御を可能にするために用いられる。ICPまたはCCPのどちらを用いても、処理条件を最適化することで、同様の膜を実現できる。例えば、CCPは、一般に、ICPよりも高い自己バイアス電圧を用いるため、より高いイオンエネルギによって特徴付けられるプラズマを生じる。この高いエネルギは、例えば、より高い処理圧を用いることで低減できるため、ICPを用いて得られるのと同様の膜特性を提供するように、同等の処理環境を達成することができる。したがって、本明細書の処理は、目標の膜組成および膜特性を達成するために、1または複数の処理条件(例えば、圧力、温度、前駆体また不活性ガスの流量、処理時間、など)を変更してICPまたはCCPを利用することを含みうる。
Figure 2022534843000010
特定の実施形態において、不飽和炭化水素前駆体の利用は、エッチング耐性を向上させる。図7は、C前駆体(またはHC≡CH、実施形態11)、C前駆体(HC≡CCH、実施形態12)、および、CH前駆体(実施形態1)で形成された下層のエッチング速度を提供する。非限定的なエッチング条件は、圧力=5mTorr、TCP=350W、TCCT=2、バイアス電力=0V、CHの流量=10sccm、Oの流量=60sccm、Arの流量=200sccm、および、ESC温度=30℃を有するICPチャンバの利用を含んだ。このように、(例えば、三重結合を有する)不飽和炭化水素前駆体の利用は、(例えば、単結合のみを有する)飽和炭化水素前駆体と比較して、下層のエッチング耐性を向上させた。
実施例5:高EUV吸収原子の利用
また、下層は、高パターニング放射線吸収断面積(例えば、1×10cm/モル以上のEUV吸収断面積)を有する1または複数の原子を含んでよい。かかる原子は、例えば、ヨウ素(I)を含む。ヨウ素は、任意の有用な供給源で供給されうる。例えば、蒸着中に用いられる前駆体は、1または複数のヨウ素原子を有する炭化水素であるドーパント前駆体であってよい。非限定的な前駆体は、1または複数のI原子を有する脂肪族化合物または芳香族化合物(例えば、アルカン、アルケン、または、アルキン(それらの環状形態を含む)、ならびに、ベンゼン)を含む。さらに他の前駆体の例は、ヨードアセチレン(CHI)、ジヨードアセチレン(C)、ヨウ化ビニル(CI)、ヨードメタン(CHI)、ジヨードメタン(CH)、1,1-ジヨードエテン(C)、(E)-1,2-ジヨードエチレン(trans-C)、(Z)-1,2-ジヨードエチレン(cis-C)、ヨウ化アリル(CI)、1-ヨード-1-プロピン(CI)、ヨードシクロプロパン(CI)、および、1,1-ジヨードシクロプロパン(C)を含む。
有益な下層を提供するために、本明細書の蒸着条件の内の任意の条件を組み合わせることができる。例えば、パルスバイアス処理は、本明細書に記載の任意の前駆体(例えば、炭化水素前駆体、ドーパント前駆体、または、それらの組み合わせ)と併用できる。別の例において、ドーパント前駆体は、本明細書に記載の炭化水素前駆体と併用できる。さらに、処理は、1、2、3、または、それよりも多い異なる前駆体(例えば、2以上の炭化水素前駆体、および/または、2以上のドーパント前駆体)の利用を含んでもよい。さらに別の例において、ドーパント前駆体は、1または複数の非炭素ヘテロ原子で本明細書の任意の炭化水素前駆体(例えば、飽和または不飽和炭化水素前駆体)を修飾することによって生成されてもよい。
前駆体の組みあわせを選択することで、所望の膜特性を提供することができる。例えば、特定の炭化水素前駆体(例えば、不飽和炭化水素前駆体)を選択することで、エッチング耐性を改善できる。次いで、特定のヘテロ原子を選択することで、密度または屈折率を増大させた膜を提供することができる(例えば、O、Si、N、W、B、または、Iなどのヘテロ原子)。一例において、下層は、I、C、H、および、O原子、I、C、H、および、Si原子、I、H、N、O、および、Si原子、もしくは、I、C、H、N、O、および、Si原子、を含んでよい。
最後に、さらに他の非炭素ヘテロ原子を選択することで、EUV吸収を強化された膜を提供することができる(例えば、1x10cm/モル以上のEUV吸収断面積を有するヘテロ原子(Iまたは別のヘテロ原子など))。下層の厚さは、制御可能である(例えば、約5nmより大きく)。
前駆体(例えば、下層のための前駆体)
本明細書の下層は、任意の有用な前駆体または前駆体の組みあわせを利用できる。かかる前駆体は、炭素(C)および水素(H)原子のみを含む炭化水素前駆体を含んでよく、ここで、前駆体は、飽和(単結合のみを有する)でも不飽和(1または複数の二重結合または三重結合を有する)でもよく、直鎖でも環状鎖でもよい。さらに他の前駆体は、1または複数の非炭素ヘテロ原子を含んでよく、かかる前駆体は、本明細書ではドーパント前駆体と呼ばれる。かかるドーパント前駆体は、非炭素原子と組み合わせて炭素原子を任意選択的に含んでよい。いくつかの実施形態において、本明細書の任意の炭化水素前駆体が、ドーパント前駆体を提供するために1または複数のヘテロ原子で修飾されてよい。一般用語「前駆体」は、炭化水素前駆体および/またはドーパント前駆体のことを指しうる。かかる前駆体は、いくつかの例において、気体であることにより、処理チャンバ内での蒸着を可能にしうる。
炭化水素前駆体は、一般に、炭素含有前駆体を含む。いくつかの例において、炭化水素前駆体は、C原子およびH原子のみを含む。炭化水素前駆体は、例えば、化学式Cによって定義されるものであってよく、ここで、xは、1から10までの整数、yは、2から24までの整数である。かかる前駆体の例は、メタン(CH)、アセチレン(C)、エタン(C)、エチレン(C)、プロパン(C)、プロピレン(C)、プロピン(C)、アレン(C)、シクロプロペン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、シクロヘキサン(C12)、ベンゼン(C)、および、トルエン(C)を含む。
炭化水素前駆体は、脂肪族化合物(例えば、C1-10アルカン、C2-10アルケン、C2-10アルキンで、それらの直鎖形態または環状形態を含む)または芳香族化合物(例えば、ベンゼン、および、その多環形態)であってよい。炭化水素前駆体は、飽和結合(単結合、例えば、C-C結合またはC-H結合)、および/または、不飽和結合(二重または三重結合、例えば、C=C、C≡C、または、C≡N結合)を含んでよい。
また、下層のための有用な前駆体は、1または複数のヘテロ原子を含んでもよい。かかるヘテロ原子は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、および、それらの組み合わせなど、任意の有用な非炭素原子であってよい。したがって、非限定的なヘテロ原子含有前駆体(本明細書ではドーパント前駆体とも呼ばれる)は、O含有前駆体、Si含有前駆体、N含有前駆体、W含有前駆体、B含有前駆体、I含有前駆体、または、Cl含有前駆体を含みうる。かかるドーパント前駆体は、本明細書に記載するように、無機(炭素原子を欠く)または有機(炭素原子を含む)であってよい。
O含有前駆体は、O原子およびC原子を含んだオキソカーボン前駆体を含みうる。特定の実施形態において、オキソカーボン前駆体は、水素(H)または炭化水素と反応し、任意選択的に、Si源またはSi含有前駆体とさらに共反応する。さらに他のO含有前駆体は、一酸化炭素(CO)、二酸化炭素(CO)、水(HO)、酸素(O)、オゾン(O)、過酸化水素(H)、アルコール(t-アミルアルコール、エタノール、プロパノール、など)、ポリオール(例えば、エチレングリコールなどのジオール)、ケトン、アルデヒド、エーテル、エステル、カルボン酸、アルコキシシラン、オキソラン、または、フランを含みうる。
Si含有前駆体は、シラン、ハロシラン、アミノシラン、アルコキシシラン、オルガノシラン、などを含みうる。特定の実施形態において、Si含有前駆体は、酸化剤(例えば、COおよびCOを含め、O含有前駆体またはオキソカーボン前駆体など、本明細書に記載の任意のもの)と共反応する。非限定的なSi含有前駆体は、ポリシラン(HSi-(SiH-SiH)を含み、ここで、n≧0である。シランの例は、シラン(SiH)、ジシラン(Si)、および、オルガノシラン(例えば、メチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、secブチルシラン、テキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシランなど)である。
ハロシランは、少なくとも1つのハロゲン基を含んでおり、水素原子および/または炭素原子を含んでもよいし含まなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、および、フルオロシランである。具体的なクロロシランは、テトラクロロシラン、トリクロロシラン、ジクロロシラン、モノクロロシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ-sec-ブチルシラン、t-ブチルジメチルクロロシラン、ヘキシルジメチルクロロシランなどである。具体的なヨードシランは、テトラヨードシラン、トリヨードシラン、ジヨードシラン、モノヨードシラン、ヨードトリメチルシラン、などである。
アミノシランは、Si原子に結合した少なくとも1つのN原子を含むが、H、O、ハロゲン、および/または、C原子も含んでよい。アミノシランの例は、モノ-、ジ-、トリ-、および、テトラ-アミノシラン(それぞれ、HSi(NH)、HSi(NH、HSi(NH、および、Si(NH)、ならびに、置換モノ-、ジ-、トリ-、および、テトラ-アミノシラン(例えば、t-ブチルアミノシラン、メチルアミノシラン、t-ブチルシランアミン、ビ(t-ブチルアミノ)シラン(SiH(NHC(CH(BTBAS)、tert-ブチルシリルカルバメートSiH(CH)-(N(CH、SiHCl(N(CH、(Si(CHNH)など、である。アミノシランのさらなる例は、トリシリルアミン(N(SiH))である。
アルコキシシランは、Si原子に結合した少なくとも1つのO原子を含むが、H、N、ハロゲン、および/または、C原子も含んでよい。アルコキシシランの例は、モノ-、ジ-、トリ-、および、テトラ-アルコキシシラン(それぞれ、HSi(OR)、HSi(OR)、HSi(OR)、および、Si(OR)であり、ここで、各Rは、独立していて、任意選択的に置換されたアルキル基またはアリール基でありうる)、ならびに、置換モノ-、ジ-、トリ-、および、テトラ-アルコキシシラン(例えば、トリメトキシメチルシラン(CHSi(OCH)、(3-アミノプロピル)トリメトキシシラン(NH(CHSi(OCH)3)、(3-アミノプロピル)トリエトキシシラン(NH(CHSi(OCHCH)、トリエトキシビニルシラン(CH=CHSi(OCHCH)、トリエトキシエチルシラン(CHCHSi(OCHCH)、トリメトキシフェニルシラン(PhSi(OCH)、イソブチルトリエトキシシラン(i-BuSi(OCHCH)、ジアセトキシジメチルシラン((CHSi(OCOCH)、などである。さらに他の例は、テトラエトキシシラン(Si(OCHCH)、トリエトキシシラン(HSi(OCHCH)、テトラメトキシシラン(Si(OCH)、および、トリメトキシシラン(HSi(OCH)を含む。
N含有前駆体は、少なくとも1つのN原子を有する任意のもの、例えば、窒素ガス(N)、アンモニア(NH)、ヒドラジン(N)、アミン(炭素を持つアミン)(メチルアミン、ジメチルアミン、エチルメチルアミン、エチルアミン、イソプロピルアミン、t-ブチルアミン、ジ-t-ブチルアミン、シクロプロピルアミン、sec-ブチルアミン、シクロブチルアミン、イソアミルアミン、2-メチルブタン-2-アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、など)、ジ-t-ブチルヒドラジン、ならびに、芳香族含有アミン(アニリン、ピリジン、および、ベンジルアミン、など)、を含む。さらに他のN含有前駆体は、ニトリル(例えば、アセトニトリル)、アミド、N含有複素環式化合物、または、アミノアルコール(例えば、エタノールアミン)を含みうる。アミンは、第1級、第2級、第3級、または、第4級アミン(例えば、テトラアルキルアンモニウム化合物)であってよい。N含有前駆体は、N以外のヘテロ原子を含んでよく、例えば、ヒドロキシルアミン、t-ブチルオキシカルボニルアミン、および、N-t-ブチルヒドロキシルアミンが、N含有前駆体である。
W含有前駆体は、タングステン含有ハロゲン化物前駆体を含み、これは、フッ化タングステン(フッ化タングステン(VI)(WF)など)、ならびに、塩化タングステン(塩化タングステン(VI)(WCl)、塩化タングステン(V)(WCl)、および、オキシ塩化タングステン(VI)(WOCl)など)、を含みうる。いくつかの実施形態において、タングステンヘキサカルボニル(W(CO))、メシチレンタングステントリカルボニル([C(CH]W(CO))、ビス(t-ブチルイミド)ビス(ジメチルアミノ)タングステン(VI)([(CHCN]W[N(CH)、ビス(シクロペンタジエニル)タングステン(IV)二水素化物(HWCp)など、金属有機タングステン含有前駆体が用いられてもよい。
B含有前駆体は、ハロゲン化ホウ素(例えば、BCl)、ボラン(例えば、B)、ホウ酸塩(例えば、B(OH))、および、有機ホウ素化合物(例えば、B(CH)を含む。非限定的なB含有前駆体は、ジボラン(B)、ホウ酸トリメチル(B[OCH)、ホウ酸トリエチル(B[OCHCH)、ホウ酸トリイソプロピル(B[OCH(CH)、トリメチルボラン(B(CH)、トリエチルボラン(B(CH5))、トリフェニルボラン(BPh)、テトラキス(ジメチルアミノ)ジボロン(B(N(CH)、三フッ化ホウ素(BF)、三塩化ホウ素(BCl)、三臭化ホウ素(BBr)、および、ヨウ化ホウ素(BI)、を含む。
I含有前駆体は、ヨードアセチレン(CHI)、ジヨードアセチレン(C)、ヨウ化ビニル(CI)、ヨードメタン(CHI)、ジヨードメタン(CH)、1,1-ジヨードエテン(C)、(E)-1,2-ジヨードエチレン(trans-C)、(Z)-1,2-ジヨードエチレン(cis-C)、ヨウ化アリル(CI)、1-ヨード-1-プロピン(CI)、ヨードシクロプロパン(CI)、および、1,1-ジヨードシクロプロパン(C)など、ヨウ素化炭化水素化合物を含む。
Cl含有前駆体は、クロロアセチレン(CHCl)、塩化ビニル(CCl)、クロロメタン(CHCl)、ジクロロメタン(CHCl)、1,1-ジクロロエテン(CCl)、(E)-1,2-ジクロロエチレン、(trans-CCl)、(Z)-1,2-ジクロロエチレン(cis-CCl)、塩化アリル(CCl)、1-クロロ-1-プロピン)(CCl)、クロロシクロプロパン(CCl)、および、1,1-ジクロロシクロプロパン(CCl)など、塩素化炭化水素化合物を含む。
その他のヘテロ原子が含まれてもよい(リン(P)など)。P含有前駆体は、リン酸塩、ホスフィン、ハロゲン化リン、有機リン化合物、などを含みうる。非限定的なP含有前駆体は、リン酸トリエチル(PO[OC)、リン酸トリメチル(PO[OCH)、亜リン酸トリメチル(P(OCH)、トリスジメチルアミノリン(P[N(CH)、三塩化リン(PCl)、トリスメチルシリルホスフィン(P[Si(CH)、および、オキシ塩化リン(POCl)を含む。
下層の特性
本明細書の任意の処理および前駆体はいずれも、有用な下層(下地層)を提供するために利用できる。下層の組成は、特定の原子を含むよう調整できる。一実施形態において、下層は、0~30原子%のO(例えば、1~30%、2~30%、または、4~30%)、約20~50原子%のH(例えば、20~45%、30~50%、または、30~45%)、および/または、30~70原子%のC(例えば、30~60%、30~65%、または、30~68%)を含む。他の実施形態において、下層は、不飽和結合(例えば、C=C、C≡C、および/または、C≡N結合)を含む。さらに他の実施形態において、下層は、約0.7~2.9g/cmの密度を有する。
下層は、対照膜と比較して、高いエッチング選択比および/または少ないアンダーカットで特徴付けられうる。他の実施形態において、下層は、対照膜と比較して、低いラインエッジラフネスおよびライン幅ラフネスならびに/もしくは低い線量対サイズで特徴付けられうる。非限定的な対照膜は、飽和炭化水素前駆体で形成され、パルスバイアス内で形成され、および/または、ドーパントなしで形成された膜を含む。一例において、対照膜は、メタンで形成されたAHMである。別の例において、対照膜は、アセチレンで形成されたAHMである。
パターニング構造
本明細書のパターニング構造(または膜)は、ハードマスクまたは基板の表面上のイメージング層と、イメージング層の下の下層と、を含みうる。特定の実施形態において、下層の存在は、イメージング層の放射線吸収率および/またはパターニング性能を高める。
一般に、層を通した光子吸収は、深さに依存する。均質の層または膜が放射線に露光される時、層の下側部分は、下側部分に到達する光子が少ないことから、同じ層の上側部分と比較して、低い放射線量に露光される。したがって、層の深さ全体にわたって十分かつ均一な露光を保証するために、層は、放射線の十分な透過を提供しなければならない。特定の実施形態において、本明細書に記載の下層は、イメージング層を通した放射線吸収を増大させる。さらに、いくつかの例において、下層は、パターニング構造の下側部分をより良好に露光できるより多くの二次電子を効果的に発生させることができる。
下層およびイメージング層の一方または両方が、高吸収元素を含んでよい。一例において、下層およびイメージング層は両方とも、EUV吸収について1x10cm/モル以上などの高吸収元素を含む。吸収層およびイメージング層の各々における元素は、同じであっても、異なっていてもよい。特定の実施形態において、改善した接着が、イメージング層および/または下層内に所望のパターン化フィーチャを提供するのに必要な放射線量を低下させることができる。
イメージング層は、本明細書に記載するように、任意の有用なレジスト(有機金属ベースのレジストなど)を含みうる。用いられているフォトレジスト材料が重要な無機成分を有する場合、例えば、それが、主に金属酸化物であるフレームワークを示す場合、下層は、炭素系の膜であることが有利でありうる。重要なトポグラフィを作り出すデバイスフィーチャが、パターニングされる基板上に存在する場合、下層の別の重要な機能は、後続のパターニング工程が、焦点の合ったパターンのすべての領域と平坦な表面に対して実行されうるように、既存のトポグラフィを上塗りして平坦化することでありうる。かかる応用例に対して、下層(または複数の下層のうちの少なくとも1つ)は、乾式蒸着またはスピンコーティング技術を用いて塗布されてよい。この層は、炭素ベースおよび水素ベースの組成を有する様々なAHM膜を含んでよく、タングステン、ホウ素、窒素、または、フッ素など、さらなる元素でドープされてよい。
下層およびイメージング層は、単独でまたは一緒に、膜と見なされうる。いくつかの実施形態において、膜は、放射線感受性膜(例えば、EUV感受性膜)である。この膜は、次に、本明細書でさらに説明するように、EUVレジストとして機能しうる。特定の実施形態において、層または膜は、放射線(例えば、EUVまたはDUV放射線)によって除去、開裂、または、架橋されることのできる1または複数の配位子(例えば、EUVに不安定な配位子)を含んでよい。
前駆体は、放射線に感受性のあるパターニング可能な膜(もしくは、パターニング放射感受性膜またはフォトパターニング可能な膜)を提供するために利用できる。かかる放射線は、パターニングされたマスクを通して照射することによって供給されることでパターニングされた放射線になるEUV放射線、DUV放射線、または、UV放射線を含みうる。膜自体は、膜が放射線感受性または感光性になるように、かかる放射線に露光されることによって改変されうる。特定の実施形態において、前駆体は、有機金属化合物であり、少なくとも1つの金属中心を含む。
前駆体は、任意の有用な数およびタイプの配位子を有してよい。いくつかの実施形態において、配位子は、対反応物の存在下またはパターニングされた放射線の存在下で反応できることによって特徴付けられうる。例えば、前駆体は、対反応物と反応する配位子を含んでよく、それにより、金属中心の間の結合(例えば、-O-結合)を導入することができる。別の例において、前駆体は、パターニングされた放射線の存在下で脱離する配位子を含んでもよい。かかるEUV不安定性の配位子は、ベータ水素を有する分岐鎖状または直鎖状のアルキル基と、化学式(I)または(II)におけるRについて本明細書に記載されている任意のものと、を含んでよい。
前駆体は、有機金属剤、金属ハロゲン化物、または、キャッピング剤(例えば、本明細書に記載されているもの)など、任意の有用な金属含有前駆体でありうる。非限定的な例において、前駆体は、以下の化学式(I)を有する構造を備える。
(I)、
ここで、
Mは、高EUV吸収断面積を有する金属または原子であり、
各Rは、独立していて、H、ハロ、任意選択的に置換されたアルキル、任意選択的に置換されたシクロアルキル、任意選択的に置換されたシクロアルケニル、任意選択的に置換されたアルケニル、任意選択的に置換されたアルキニル、任意選択的に置換されたアルコキシ、任意選択的に置換されたアルカノイルオキシ、任意選択的に置換されたアリール、任意選択的に置換されたアミノ、任意選択的に置換されたビス(トリアルキルシリル)アミノ、任意選択的に置換されたトリアルキルシリル、オキソ、アニオン性配位子、中性配位子、または、多座配位子、であり、
a≧1、b≧1、である。
別の非限定的な例において、前駆体は、以下の化学式(II)を有する構造を備える。
(II)、
ここで、
Mは、高EUV吸収断面積を有する金属または原子であり、
各Rは、独立していて、ハロ、任意選択的に置換されたアルキル、任意選択的に置換されたアリール、任意選択的に置換されたアミノ、任意選択的に置換されたアルコキシ、または、Lであり、
各Lは、独立していて、配位子、アニオン性配位子、中性配位子、多座配位子、イオン、または、対反応物と反応するその他の部分であり、ここで、RおよびLはMと共に一緒に、任意選択的にヘテロシクリル基を形成し、または、RおよびLが一緒に、任意選択的にヘテロシクリル基を形成しえ、
a≧1、b≧1、および、c≧1、である。
いくつかの実施形態において、前駆体内の各配位子は、対反応物と反応する配位子でありうる。一例において、前駆体は、化学式(II)を有する構造を備えており、ここで、各Rは、独立していて、Lである。別の例において、前駆体は、以下の化学式(IIa)を有する構造を備える。
(IIa)、
ここで、
Mは、高EUV吸収断面積を有する金属または原子であり、
各Lは、独立していて、対反応物と反応する配位子、イオン、または、その他の部分であり、ここで、2つのLは一緒に、任意選択的にヘテロシクリル基を形成しえ、
a≧1、および、c≧1、である。
化学式(IIa)の特定の実施形態において、aは、1である。さらなる実施形態において、cは、2、3、または、4である。
本明細書の任意の化学式について、Mは、高パターニング放射線吸収断面積(例えば、1x10cm/モル以上のEUV吸収断面積)を有する金属または半金属または原子であってよい。いくつかの実施形態において、Mは、スズ(Sn)、ビスマス(Bi)、テルル(Te)、セシウム(Cs)、アンチモン(Sb)、インジウム(In)、モリブデン(Mo)、ハフニウム(Hf)、ヨウ素(I)、ジルコニウム(Zr)、鉄(Fe)、コバルト(Co)、ニッケル(Ni)、銅(Cu)、亜鉛(Zn)、銀(Ag)、白金(Pt)、および、鉛(Pb)である。さらなる実施形態において、化学式(I)、(II)、または、(IIa)で、MはSnであり、aは1であり、cは4である。他の実施形態において、化学式(I)、(II)、または、(IIa)で、MはSnであり、aは1であり、cは2である。特定の実施形態において、MはSn(II)であり(例えば、化学式(I)、(II)、または、(IIa)の中で)、それにより、Sn(II)系化合物である前駆体を提供する。他の実施形態において、MはSn(IV)であり(例えば、式(I)、(II)、または、(IIa)の中で)、それにより、Sn(IV)系化合物である前駆体を提供する。特定の実施形態において、前駆体は、ヨウ素を含む(例えば、過ヨウ素酸塩の場合など)。
本明細書の任意の化学式について、各Rは、独立していて、H基、ハロ基、任意選択的に置換されたアルキル基、任意選択的に置換されたシクロアルキル基、任意選択的に置換されたシクロアルケニル基、任意選択的に置換されたアルケニル基、任意選択的に置換されたアルキニル基、任意選択的に置換されたアルコキシ基(例えば、-OR、ここで、Rは、任意選択的に置換されたアルキル基でありうる)、任意選択的に置換されたアルカノイルオキシ基、任意選択的に置換されたアリール基、任意選択的に置換されたアミノ基、任意選択的に置換されたビス(トリアルキルシリル)アミノ基、任意選択的に置換されたトリアルキルシリル基、オキソ基、アニオン性配位子(例えば、オキシド、クロリド、ヒドリド、アセテート、イミノジアセテート、プロパノエート、ブタノエート、ベンゾエート、など)、中性配位子、または、多座配位子、である。
いくつかの実施形態において、任意選択的に置換されたアミノは、-NRであり、ここで、各RおよびRは、独立していて、H基またはアルキル基であり、もしくは、RおよびRは、各々が結合している窒素原子と共に、本明細書で定義のヘテロシクリル基を形成する。他の実施形態において、任意選択的に置換されたビス(トリアルキルシリル)アミノ基は、-N(SiRであり、ここで、各R、R、および、Rは、独立していて、任意選択的に置換されたアルキル基である。さらに他の実施形態において、任意選択的に置換されたトリアルキルシリル基は、-SiRであり、ここで、各R、R、および、Rは、独立していて、任意選択的に置換されたアルキル基である。
その他の実施形態において、化学式は、-NRである第1R(または第1L)と、NRである第2R(または第2L)とを含んでおり、ここで、各RおよびRは、独立していて、Hまたは任意選択的に置換されたアルキルであり、もしくは、第1R(または第1L)からのRおよび第2R(または第2L)からのRは、各々が結合している窒素原子および金属原子と一緒に、本明細書で定義のヘテロシクリル基を形成する。さらにその他の実施形態において、化学式は、-ORである第1Rと、-ORである第2Rとを含んでおり、各Rは、独立していて、H基または任意選択的に置換されたアルキルであり、もしくは、第1RからのRおよび第2RからのRは、各々が結合している酸素原子および金属原子と一緒に、本明細書で定義のヘテロシクリル基を形成する。
いくつかの実施形態において、(例えば、化学式(I)、(II)、または、(IIa)内の)RまたはLの少なくとも一方は、任意選択的に置換されたアルキル基である。非限定的なアルキル基は、例えば、C2n+1(メチル、エチル、n-プロピル、イソプロピル、n-ブチル、イソブチル、s-ブチル、または、t-ブチルなど)を含み、ここで、nは、1、2、3、または、4以上である。様々な実施形態において、RまたはLが、少なくとも1つのベータ水素またはベータフッ素を有する。他の実施形態において、RまたはLの少なくとも一方が、ハロ置換アルキル(例えば、フルオロ置換アルキル)である。
いくつかの実施形態において、(例えば、化学式(I)、(II)、または、(IIa)における)各RまたはLもしくは少なくとも1つのRまたはLは、ハロである。特に、前駆体は、金属ハロゲン化物でありうる。非限定的な金属ハロゲン化物は、SnBr、SnCl、SnI、および、SbClを含む。
いくつかの実施形態において、(例えば、化学式(I)、(II)、または、(IIa)における)各RまたはLもしくは少なくとも1つのRまたはLは、窒素原子を含んでよい。特定の実施形態において、1または複数のRまたはLが、任意選択的に置換されたアミノ、任意選択的に置換されたモノアルキルアミノ(例えば、-NRH、ここで、Rは、任意選択的に置換されたアルキルである)、任意選択的に置換されたジアルキルアミノ(例えば、-NR、ここで、各RおよびRは、独立していて、任意選択的に置換されたアルキルである)、または、任意選択的に置換されたビス(トリアルキルシリル)アミノ、であってよい。非限定的なRおよびL置換基は、例えば、-NMe、-NHMe、-NEt、-NHEt、-NMeEt、-N(t-Bu)-[CHCH-N(t-Bu)-(tbba)、N(SiMe、および、N(SiEt、を含みうる。
いくつかの実施形態において、(例えば、化学式(I)、(II)、または、(IIa)における)各RまたはLもしくは少なくとも1つのRまたはLは、シリコン原子を含んでよい。特定の実施形態において、1または複数のRまたはLが、任意選択的に置換されたトリアルキルシリル基または任意選択的に置換されたビス(トリアルキルシリル)アミノ基であってよい。非限定的なRまたはL置換基は、例えば、-SiMe、-SiEt、-N(SiMe、および、-N(SiEt、などを含みうる。
いくつかの実施形態において、(例えば、化学式(I)、(II)、または、(IIa)における)各RまたはLもしくは少なくとも1つのRまたはLは、酸素原子を含んでよい。特定の実施形態において、1または複数のRまたはLが、任意選択的に置換されたアルコキシ基または任意選択的に置換されたアルカノイルオキシ基であってよい。非限定的なRまたはL置換基は、例えば、メトキシ、エトキシ、イソプロポキシ(i-PrO)、t-ブトキシ(t-BuO)、アセテート、(-OC(O)-CH)、および、-O=C(CH)-CH=C(CH)-O-(acac)でありうる。
本明細書における任意の化学式は、1または複数の中性配位子を含んでよい。非限定的な中性配位子は、任意選択的に置換されたアミン(例えば、NRまたはRN-Ak-NR、ここで、各Rは、独立していて、H、任意選択的に置換されたアルキル、任意選択的に置換されたヒドロカルビル、または、任意選択的に置換されたアリールであってよく、Akは、任意選択的に置換されたアルキレンである)、任意選択的に置換されたホスフィン(例えば、PRまたはRP-AK-PR、ここで、各Rは、独立していて、H、任意選択的に置換されたアルキル、任意選択的に置換されたヒドロカルビル、または、任意選択的に置換されたアリールであり、Akは、任意選択的に置換されたアルキレンである)、任意選択的に置換されたエーテル(例えば、OR、ここで、各Rは、独立していて、H、任意選択的に置換されたアルキル、任意選択的に置換されたヒドロカルビル、または、任意選択的に置換されたアリール)、任意選択的に置換されたアルキル、任意選択的に置換されたアルケン、任意選択的に置換されたアルキン、任意選択的に置換されたベンゼン、オキソ、または、一酸化炭素、を含む。
本明細書における任意の式は、1または複数の多座(例えば、二座)配位子を含んでよい。非限定的な多座配位子は、ジケトネート(例えば、アセチルアセトネート(acac)または-OC(R)-Ak-(R)CO-または-OC(R)-C(R)-(R)CO-)、二座キレート二窒素(例えば、-N(R)-Ak-N(R)-または-N(R)-CR-CR=N(R)-)、芳香族(例えば、-Ar-)、アミジナート(例えば、-N(R)-C(R)-N(R)-)、アミノアルコキシド(例えば、-N(R)-Ak-O-または-N(R-Ak-O-)、ジアザジエニル(例えば、-N(R)-C(R)-C(R)-N(R)-)、シクロペンタジエニル、ピラゾレート、任意選択的に置換されたヘテロシクリル、任意選択的に置換されたされたアルキレン、もしくは、任意選択的に置換されたヘテロアルキレン、を含む。特定の実施形態において、各R1は、独立していて、H、任意選択的に置換されたアルキル、任意選択的に置換されたハロアルキル、または、任意選択的に置換されたアリールであり、各Rは、独立していて、Hまたは任意選択的に置換されたアルキルであり、R3およびR4は一緒に、任意選択的に置換されたヘテロシクリルを形成し、Akは、任意選択的に置換されたアルキレンであり、Arは、任意選択的に置換されたアリレンである。
特定の実施形態において、前駆体は、スズを含む。いくつかの実施形態において、スズ前駆体は、SnRまたはSnRまたはSnRまたはRSnSnRを含み、ここで、各Rは、独立していて、H、ハロ、任意選択的に置換されたC1-12アルキル、任意選択的に置換されたC1-12アルコキシ、任意選択的に置換されたアミノ(例えば、-NR)、任意選択的に置換されたC2-12アルケニル、任意選択的に置換されたC2-12アルキニル、任意選択的に置換されたC3-8シクロアルキル、任意選択的に置換されたアリール、シクロペンタジエニル、任意選択的に置換されたされたビス(トリアルキルシリル)アミノ(例えば、-N(SiR)、任意選択的に置換されたアルカノイルオキシ(例えば、アセテート)、ジケトネート(例えば、-OC(R)-Ak-(R)CO-)、または、二座キレート二窒素(例えば、-N(R)-Ak-N(R)-)、である。特定の実施形態において、各R、R、および、Rは、独立していて、HまたはC1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、または、ネオペンチル)であり、Akは、任意選択的に置換されたC1-6アルキレンである。特定の実施形態において、各Rは、独立していて、ハロ、任意選択的に置換されたC1-12アルコキシ、任意選択的に置換されたアミノ、任意選択的に置換されたアリール、シクロペンタジエニル、または、ジケトネート、である。非限定的なスズ前駆体は、SnF、SnH、SnBr、SnCl、SnI、テトラメチルスズ(SnMe)、テトラエチルスズ(SnEt)、トリメチルスズクロリド(SnMeCl)、ジメチルスズジクロリド(SnMeCl)、メチルスズトリクロリド(SnMeCl)、テトラアリルスズ、テトラビニルスズ、ヘキサフェニル二スズ(IV)(PhSn-SnPh、ここで、Phはフェニル)、ジブチルジフェニルスズ(SnBuPh)、トリメチル(フェニル)スズ(SnMePh)、トリメチル(フェニルエチニル)スズ、トリシクロヘキシルスズヒドリド、トリブチルスズヒドリド(SnBuH)、ジブチルスズジアセテート(SnBu(CHCOO))、スズ(II)アセチルアセトネート(Sn(acacac))、SnBu(OEt)、SnBu(OMe)、SnBu(OMe)、Sn(t-BuO)、Sn(n-Bu)(t-BuO)、テトラキス(ジメチルアミノ)スズ(Sn(NMe)、テトラキス(エチルメチルアミノ)スズ(Sn(NMeEt))、テトラキス(ジエチルアミノ)スズ(IV)(Sn(NEt)、(ジメチルアミノ)トリメチルスズ(IV)(Sn(Me)(NMe)、Sn(i-Pr)(NMe、Sn(n-Bu)(NMe、Sn(s-Bu)(NMe、Sn(i-Bu)(NMe、Sn(t-Bu)(NMe、Sn(t-Bu)(NMe、Sn(t-Bu)(NEt、Sn(tbba)、Sn(II)(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R、5R)-1,3,2-ジアザスタンノリジン-2-イリデン)、または、ビス[ビス(トリメチルシリル)アミノ]スズ(Sn[N(SiMe)、を含む。
他の実施形態において、前駆体は、(BiRの中などに)ビスマスを含み、ここで、各Rは、独立していて、ハロ、任意選択的に置換されたC1-12アルキル、モノ-C1-12アルキルアミノ(例えば、-NRH)、ジ-C1-12アルキルアミノ(例えば、-NR)、任意選択的に置換されたアリール、任意選択的に置換されたビス(トリアルキルシリル)アミノ(例えば、-N(SiR)、または、ジケトネート(例えば、-OC(R)-Ak-(R)CO-)、である。特定の実施形態において、各R、R、および、Rは、独立していて、C1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、または、ネオペンチル)であり、各RおよびRは、独立していて、Hまたは任意選択的に置換されたC1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、または、ネオペンチル)である。非限定的なビスマス前駆体は、BiCl、BiMe、BiPh、Bi(NMe、Bi[N(SiMe、および、Bi(thd)を含み、ここで、thdは、2,2,6,6-テトラメチル-3,5-ヘプタンジオネートである。
他の実施形態において、前駆体は、テルルを含み(TeRまたはTeRなど)、ここで、各Rは、独立していて、ハロ、C1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、または、ネオペンチル)、任意選択的に置換されたC1-12アルコキシ、任意選択的に置換されたアリール、ヒドロキシル、オキソ、または、任意選択的に置換されたトリアルキルシリル、である。非限定的なテルリウム前駆体は、ジメチルテルル(TeMe)、ジエチルテルル(TeEt)、ジ(n-ブチル)テルル(Te(n-Bu))、ジ(イソプロピル)テルル(Te(i-Pr))、ジ(t-ブチル)テルル(Te(t-Bu))、t-ブチルテルルヒドリド(Te(t-Bu)(H))、Te(OEt)、ビス(トリメチルシリル)テルル(Te(SiMe)、および、ビス(トリエチルシリル)テルル(Te(SiEt)、を含む。
前駆体は、(SbRの中などに)アンチモンを含んでよく、ここで、各Rは、独立していて、ハロ、任意選択的に置換されたC1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、および、ネオペンチル)、任意選択的に置換されたC1-12アルコキシ、または、任意選択的に置換されたアミノ(例えば、-NR、ここで、各RおよびRは、独立していて、Hまたは任意選択的に置換されたC1-12アルキルである)、である。非限定的アンチモン前駆体は、SbCl、Sb(OEt)、Sb(On-Bu)、および、Sb(NMe、を含む。
他の前駆体は、(InRの中などに)インジウム前駆体を含み、ここで、各Rは、独立していて、ハロ、任意選択的に置換されたC1-12アルキル(例えば、メチル、エチル、イソプロピル、t-ブチル、および、ネオペンチル)、もしくは、ジケトネート(例えば、-OC(R)-Ak-(R)CO-、ここで、各RおよびRは、独立していて、HまたはC1-12アルキルである)。非限定的インジウム前駆体は、InCpを含み、ここで、Cpは、シクロペンタジニル、InCl、InMe、In(acac)、In(CFCOCHCOCH、および、In(thd)、である。
前駆体は、ヨウ素を含んでよい(RI(ここで、Rは、ヨード(I)または任意選択的に置換されたC1-12アルキル)、もしくは、過ヨウ素酸塩など)。非限定的なヨウ素前駆体は、ヨウ素ガス(I)、ジヨードメタン(CH)、および、過ヨウ素酸塩、を含む。
さらに他の前駆体および非限定的な置換基が、本明細書に記載されている。例えば、前駆体は、上述のように、化学式(I)、(II)、および、(IIa)の構造を有する任意の前駆体、もしくは、後述のように、化学式(III)、(IV)、(V)、(VI)、(VII)、または、(VIII)の構造を有する任意の前駆体であってよい。本明細書に記載されている置換基M、R、X、または、Lの内の任意の置換基が、化学式(I)、(II)、(IIa)、(III)、(IV)、(V)、(VI)、(VII)、または、(VIII)の内の任意の式で用いられてよい。
さらに他の例となるEUV感受性材料ならびに処理方法および装置が、米国特許第9,996,004号、国際特許公開第WO2020/102085号、および、国際特許公開第WO2019/217749号に記載されており、各々は、その全体が参照によって本明細書に組み込まれる。
本明細書に記載されているように、本明細書の膜、層、および、方法は、任意の有用な前駆体と共に用いられうる。いくつかの例において、前駆体は、以下の化学式(III)を有する金属ハロゲン化物を含む。
MX(III)、
ここで、Mは金属であり、Xはハロであり、nは、Mの選択に応じて2~4である。Mの金属の例は、Sn、Te、Bi、または、Sbを含む。金属ハロゲン化物の例は、SnBr、SnCl、SnI、および、SbClを含む。
別の非限定的な前駆体は、以下の化学式(IV)を有する構造を備える。
MR(IV)、
ここで、Mは金属であり、各Rは、独立していて、H、任意選択的に置換されたアルキル、アミノ(例えば、-NR、ここで、各Rは、独立していて、アルキルである)、任意選択的に置換されたビス(トリアルキルシリル)アミノ(例えば、-N(SiR、ここで、各Rは、独立していて、アルキルである)、もしくは、任意選択的に置換されたトリアルキルシリル(例えば、-SiR、ここで、各Rは、独立していて、アルキルである)、であり、nは、Mの選択に応じて2~4である。Mの金属の例は、Sn、Te、Bi、または、Sbを含む。アルキル基は、C2n+1であってよく、ここで、nは、1、2、3、または、4以上である。有機金属剤の例は、SnMe、SnEt、TeRn、RTeR、t-ブチルテルルヒドリド(Te(t-Bu)(H))、ジメチルテルル(TeMe)、ジ(t-ブチル)テルル(Te(t-Bu)))、ジ(イソプロピル)テルル(Te(i-Pr))、ビス(トリメチルシリル)テルル(Te(SiMe)、ビス(トリエチルシリル)テルル(Te(SiEt)、トリス(ビス(トリメチルシリル)アミド)ビスマス(Bi[N(SiMe)、Sb(NMe、などを含む。
別の非限定的な前駆体は、以下の化学式(V)を有するキャッピング剤を含んでよい。
ML(V)、
ここで、Mは金属であり、各Lは、独立していて、任意選択的に置換されたアルキル、アミノ(例えば、-NR、ここで、RおよびRの各々は、Hまたはアルキル(本明細書に記載されている任意のものなど)であってよい)、アルコキシ(例えば、-OR、ここで、Rは、アルキル(本明細書に記載されている任意のものなど)である)、ハロ、もしくは、その他の有機置換基であり、nは、Mの選択に応じて2~4である。Mの金属の例は、Sn、Te、Bi、または、Sbを含む。配位子の例は、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、および、ジエチルアミノ)、アルコキシ(例えば、t-ブトキシおよびイソプロポキシ)、ハロ(例えば、F、Cl、Br、および、I)、もしくは、その他の有機置換基(例えば、アセチルアセトンまたはN,N-ジ-tert-ブチル-ブタン-2,3-ジアミノ)を含む。非限定的なキャッピング剤は、SnCl、SnI、Sn(NR(ここで、Rの各々は、独立していて、メチルまたはエチルである)、もしくは、Sn(t-BuO)、を含む。いくつかの実施形態において、複数タイプの配位子が存在する。
前駆体は、以下の化学式(VI)を有するヒドロカルビル置換キャッピング剤を含んでよい。
MX(VI)、
ここで、Mは金属であり、Rは、C2-12アルキル、または、ベータ水素を有する置換アルキルであり、Xは、露出ヒドロキシル基の内のヒドロキシル基との反応時に適切な脱離基である。様々な実施形態において、n=1~3であり、m=4-n、3-n、または、2-nである(ただし、m>0(すなわち、m≧1)である)。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、n-ヘキシル、または、ベータ位にヘテロ原子置換基を有するそれらの誘導体、であってよい。適切なヘテロ原子は、ハロゲン(F、Cl、Br、または、I)もしくは酸素(-OHまたは-OR)を含む。Xは、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、または、ジエチルアミノ)、アルコキシ(例えば、t-ブトキシ、イソプロポキシ)、ハロ(例えば、F、Cl、Br、または、I)、もしくは、別の有機配位子、であってよい。ヒドロカルビル置換キャッピング剤の例は、t-ブチルトリス(ジメチルアミノ)スズ(Sn(t-Bu)(NMe)、n-ブチルトリス(ジメチルアミノ)スズ(Sn(n-Bu)(NMe)、t-ブチルトリス(ジエチルアミノ)スズ(Sn(t-Bu)(NEt)、ジ(t-ブチル)ジ(ジメチルアミノ)スズ(Sn(t-Bu)(NMe)、sec-ブチルトリス(ジメチルアミノ)スズ(Sn(s-Bu)(NMe)、n-ペンチルトリス(ジメチルアミノ)スズ(Sn(n-ペンチル)(NMe)、i-ブチルトリス(ジメチルアミノ)スズ(Sn(i-Bu)(NMe)、i-プロピルトリス(ジメチルアミノ)スズ(Sn(i-Pr)(NMe)、t-ブチルトリス(t-ブトキシ)スズ(Sn(t-Bu)(t-BuO))、n-ブチル(トリス(t-ブトキシ)スズ(Sn(n-Bu)(t-BuO))、または、イソプロピルトリス(t-ブトキシ)スズ(Sn(i-Pr)(t-BuO))、を含む。
様々な実施形態において、前駆体は、気相反応後に残りうる少なくとも1つのアルキル基を各金属原子上に含み、一方、金属原子に配位された他の配位子またはイオンが、対反応物によって置換されうる。したがって、別の非限定的な前駆体は、化学式(VII)を有する有機金属剤を含む。
(VII)、
ここで、Mは金属であり、Rは、任意選択的に置換されたアルキルであり、Lは、対反応物と反応する配位子、イオン、または、その他の部分であり、a≧1、b≧1、および、c≧1、である。特定の実施形態において、a=1、および、b+c=4、である。いくつかの実施形態において、Mは、Sn、Te、Bi、または、Sbである。特定の実施形態において、各Lは、独立していて、アミノ(例えば、-NR、ここで、RおよびRの各々は、Hまたはアルキル(本明細書に記載されている任意のものなど)であってよい)、アルコキシル(例えば、-OR、ここで、Rは、アルキル(本明細書に記載されている任意のものなど)であってよい)、もしくは、ハロ(例えば、F、Cl、Br、または、I)である。有機金属剤の例は、SnMeCl、SnMeCl、SnMeCl、SnMe(NMe、SnMe(NMe、SnMe(NMe)、などを含む。
他の実施形態において、別の非限定的な前駆体は、化学式(VIII)を有する有機金属剤を含む。
(VIII)、
ここで、Mは金属であり、Lは、対反応物と反応する配位子、イオン、または、その他の部分であり、a≧1、および、c≧1、である。特定の実施形態において、c=nー1であり、nは、2、3、または、4である。いくつかの実施形態において、Mは、Sn、Te、Bi、または、Sbである。対反応物は、少なくとも2つの金属原子を化学結合で結合させるために、反応性のある部分、配位子、または、イオン(例えば、本明細書の化学式の中のL)と置き換わることができるのが好ましい。
本明細書の任意の実施形態において、Rは、任意選択的に置換されたアルキル(例えば、C1-10アルキル)であってよい。一実施形態において、アルキルは、1または複数のハロで置換されている(例えば、1、2、3、4、または、5以上のハロ(F、Cl、Br、または、Iなど)を含むハロ置換C1-10アルキル)。R置換基の例は、C2n+1(ここで、n≧3であることが好ましい)、および、C(2n+1-x)(ここで、2n+1≦x≦1)を含む。様々な実施形態において、Rは、少なくとも1つのベータ水素またはベータフッ素を有する。例えば、Rは、i-プロピル、n-プロピル、t-ブチル、i-ブチル、n-ブチル、sec-ブチル、n-ペンチル、i-ペンチル、t-ペンチル、sec-ペンチル、および、それらの混合物からなる群より選択されてよい。
本明細書の任意の実施形態において、Lは、アミノ(例えば、-NR、RおよびRの各々は、Hまたはアルキル(本明細書に記載されている任意のものなど)であってよい)、アルコキシ(例えば、-OR、Rは、アルキル(本明細書に記載されている任意のものなど)であってよい)、カルボキシレート、ハロ(例えば、F、Cl、Br、または、I)、および、それらの混合物、からなる群より選択された部分など、M-OH部分を生成するために対反応物によって容易に置換される任意の部分であってよい。
対反応物は、少なくとも2つの金属原子を化学結合で結合させるために、反応性のある部分、配位子、または、イオン(例えば、本明細書の化学式の中のL)と置き換わることができるのが好ましい。対反応物の例は、酸素(O)、オゾン(O)、水、過酸化物(例えば、過酸化水素)、酸素プラズマ、水プラズマ、アルコール、ジヒドロキシアルコール、ポリヒドロキシアルコール、フッ素化ジヒドロキシアルコール、フッ素化ポリヒドロキシアルコール、フッ素化グリコール、ギ酸、および、その他のヒドロキシル部分の供給源、ならびに、それらの組み合わせなど、酸素含有対反応物を含む。様々な実施形態において、対反応物は、隣接する金属原子の間に酸素架橋を形成することによって、前駆体と反応する。その他の潜在的な対反応物は、硫黄架橋を介して金属原子を架橋できる硫化水素および二硫化水素、ならびに、テルル架橋を介して金属原子を架橋できるビス(トリメチルシリル)テルルを含む。さらに、ヨウ化水素が、ヨウ素を膜に組み込むために用いられてもよい。
さらに他の非限定的な対反応物は、化学式ZRを有するカルコゲニド前駆体を含み、ここで、Zは、硫黄、セレン、または、テルルであり、各Rは、独立していて、H、任意選択的に置換されたアルキル(例えば、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、t-ブチルなど)、任意選択的に置換されたアルケニル、任意選択的に置換されたアリール、任意選択的に置換されたアミノ、任意選択的に置換されたアルコキシ、または、任意選択的に置換されたトリアルキルシリル、である。
有機金属剤の例は、SnMeCl、(N,N-ジ-t-ブチル-ブタン-2,3-ジアミド)スズ(II)(Sn(tbba))、ビス(ビス(トリメチルシリル)アミド)スズ(II)、テトラキス(ジメチルアミノ)スズ(IV)(Sn(NMe)、t-ブチルトリス(ジメチルアミノ)スズ(Sn(t-ブチル)(NMe)、i-ブチルトリス(ジメチルアミノ)スズ(Sn(i-Bu)(NMe)、n-ブチルトリス(ジメチルアミノ)スズ(Sn(n-Bu)(NMe)、sec-ブチルトリス(ジメチルアミノ)スズ(Sn(s-Bu)(NMe)、i-プロピル(トリス)ジメチルアミノスズ(Sn(i-Pr)(NMe)、n-プロピルトリス(ジエチルアミノ)スズ(Sn(n-Pr)(NEt)、および、類似のアルキル(トリス)(t-ブトキシ)スズ化合物(t-ブチルトリス(t-ブトキシ)スズ(Sn(t-Bu)(t-BuO))など)、を含む。いくつかの実施形態において、有機金属剤は、部分的にフッ素化されている。
いくつかの実施形態において、パターニング構造は、露出ヒドロキシル基またはヒドロキシル末端SnOを含む表面層または膜を備えてよい。本技術の機序、機能、または、有用性を限定することなく、ヒドロキシル末端SnO層は、基板の表面に蒸着された材料の接着の改善およびパターニング中のEUV(または他の放射線)の吸収の強化などの利点を提供しうると考えられる。EUVまたはその他の放射線に対する感度と、分解能は、SnO層の特性(厚さ、密度、および、短距離電荷移動特性など)に依存しうる。様々な実施形態において、SnO層は、0.1nm~20nm、または、0.2nm~10nm、または、0.5nm~5nmの厚さを有する。
いくつかの実施形態において、ヒドロキシル末端SnO層は、蒸着によって基板の表面上に蒸着される。かかる方法において、蒸着は、Sn-Xを酸素含有対反応物と反応させることを含み、ここで、Xは、ジアルキルアミノ(例えば、ジメチルアミノ、メチルエチルアミノ、および、ジエチルアミノ)、アルコール(例えば、t-ブトキシおよびイソプロポキシ)、ハロゲン(例えば、F、Cl、Br、および、I)、もしくは、その他の有機置換基(例えば、アセチルアセトン、N2、N3-ジ-tertブチル-ブタン-2,3-ジアミノ)などの配位子である。例えば、Sn-Xは、SnCl、SnI、または、Sn(NR(ここで、Rは、メチルまたはエチル)、もしくは、Sn(t-BuO)であってよい。いくつかの実施形態において、複数タイプの配位子が存在する。酸素含有対反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、および、それらの組みあわせ、からなる群より選択されてよい。
適切な蒸着処理は、化学蒸着(CVD)、原子層蒸着(ALD)、プラズマ強化化学蒸着(PECVD)、または、プラズマ強化原子層蒸着(PEALD)を含む。いくつかの実施形態において、蒸着は、Sn-Xの蒸着および酸素含有対反応物の蒸着の循環プロセスにおいて、ALDである。いくつかの実施形態において、蒸着は、Sn-Xおよび酸素含有対反応物を同時に流すことによるCVDである。本明細書で有用なものの内、SnO層を蒸着するために材料および処理が、Nazarov et al.,Atomic Layer Deposition of Tin Dioxide Nanofilms:A Review,40 Rev.Adv.Mater.Sci.262(2015)、に記載されている。SnO基板が、本明細書に記載するように、CVDまたはALD処理によって蒸着されてよい。
表面活性化動作が、将来の動作のために表面を活性化するために用いられてよい。例えば、SiO面に対して、水または酸素/水素プラズマが、表面上にヒドロキシル基を生成するために用いられてよい。炭素ベースまたは炭化水素ベースの表面に対しては、水、水素/酸素、または、COプラズマ、または、オゾン処理が、カルボン酸/またはヒドロキシル基を生成するために用いられてよい。かかるアプローチは、基板へのレジストフィーチャの接着を改善するために重要であると証明可能であり、他の方法では、現像に用いられる溶媒中で剥離または浮き上がりが生じうる。
また、接着は、相互作用に利用可能な表面積を増加させると共に機械的接着を直接的に改善するために、基板表面に粗さを導入することによって強化されてもよい。例えば、最初に、Arまたはその他の非反応性イオン衝撃を用いたスパッタリング処理が、粗面を生み出すために利用されうる。次いで、表面は、上述したような所望の表面官能基(例えば、ヒドロキシルおよび/またはカルボン酸基)を持つように終端されうる。炭素上には、組み合わせアプローチが用いられてよく、ここで、化学反応性の高い酸素含有プラズマ(CO、O、HO(またはHとOとの混合物)など)が、局所的な不均一性を持つ膜の薄層をエッチング除去すると同時に-OH、-OOH、または、-COOH基で終端するために用いられてよい。これは、バイアスありまたはバイアスなしで実行されてよい。上述した表面改質戦略と併せて、このアプローチは、無機金属酸化物系レジストへの直接接着のために、または、さらなる官能基化のための中間表面改質として、表面粗面化と基板表面の化学的活性化との2つの目的を果たすことができる。
パターニング構造は、任意の有用な基板を含みうる。例えば、入力ウエハは、所望の材料の基板表面を有するように準備されてよく、最上部の材料は、レジストパターンが転写される層である。材料の選択は、集積化に応じて変化しうるが、一般に、EUVレジストまたはイメージング層に対して高い選択比で(すなわち、はるかに速く)エッチングされうる材料を選択することが望ましい。いくつかの実施形態において、基板はハードマスクであり、ハードマスクは、下にある半導体材料のリソグラフィエッチングで用いられる。ハードマスクは、非晶質炭素(a-C)、酸化スズ(例えば、SnO)、酸化シリコン(例えば、SiOなどのSiO)、酸窒化シリコン(例えば、SiO)、炭酸化シリコン(例えば、SiO)、窒化シリコン(例えば、Si)、酸化チタン(例えば、TiO)、窒化チタン(例えば、TiN)、タングステン(例えば、W)、ドープ炭素(例えば、WドープC)、酸化タングステン(例えば、WO)、酸化ハフニウム(例えば、HfO)、酸化ジルコニウム(例えば、ZrO)、および、酸化アルミニウム(例えば、Al)など、様々な材料のいずれを含んでもよい。適切な基板材料は、様々な炭素系の膜(例えば、アッシャブルハードマスク(AHM)、シリコン系の膜(例えば、SiOx、SiCx、SiOxCy、SiOxNy、SiOxCyNz)、a-Si:H、ポリSi、または、SiN)、もしくは、パターニング処理を容易にするために適用される任意のその他の膜(一般に、犠牲膜)を含みうる。例えば、基板は、好ましくはSnO(SnOなど)を含んでよい。様々な実施形態において、層は、1nm~100nmの厚さ、または、2nm~10nmの厚さであってよい。
様々な実施形態において、(例えば、基板および/または膜の)表面は、表面上に露出ヒドロキシル基を備える。一般に、表面は、露出ヒドロキシル表面を備え、または、露出ヒドロキシル表面を生み出すように処理された任意の表面であってよい。かかるヒドロキシル基は、酸素プラズマ、水プラズマ、または、オゾンを用いた基板の表面処理によって表面上に形成されてよい。他の実施形態において、膜の表面は、露出ヒドロキシル基を提供するように処理されてよく、その上に、キャッピング層が施されうる。様々な実施形態において、ヒドロキシ末端金属酸化物層は、0.1nm~20nm、または、0.2nm~10nm、または、0.5nm~5nmの厚さを有する。
本明細書に開示する実施例は、基板(ウエハ、基板、または、その他のワークピースなど)への材料の蒸着について記載する。ワークピースは、様々な形状、サイズ、および、材料を有してよい。本願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「製造途中の集積回路」という用語が、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300m、または、450mmの直径を有する。特に明記しない限り、本明細書に記載する処理の詳細(例えば、流量、電力レベル、など)は、300mm直径の基板を処理するのに適するか、または、300mm直径の基板を処理するよう構成されたチャンバを扱うのに適しており、他のサイズの基板またはチャンバに適するように拡大縮小されてもよい。半導体ウエハに加えて、本明細書に開示されている実施例と共に利用できるその他のワークピースは、プリント回路基板など、様々な物品を含む。処理および装置は、半導体デバイス、ディスプレイなどの製造に利用できる。
リソグラフィ処理
EUVリソグラフィは、EUVレジストを利用し、EUVレジストは、液体ベースのスピンオン技術によって生成されたポリマ系の化学増幅レジストまたは乾式蒸着技術によって生成された金属酸化物系のレジストであってよい。かかるEUVレジストは、本明細書に記載の任意のEUV感受性膜または材料を含みうる。リソグラフィ法は、例えば、フォトパターンを形成するためにEUV放射線でEUVレジストを露光することによって、レジストをパターニングする工程と、その後に、マスクを形成するためにフォトパターンに従ってレジストの一部を除去することによってパターンを現像する工程と、を含みうる。
また、本開示は、EUVリソグラフィを例とするリソグラフィパターニング技術および材料に関するが、その他の次世代リソグラフィ技術にも適用可能であることを理解されたい。現在利用および開発されている標準的な13.5nmEUV波長を含むEUVに加えて、かかるリソグラフィに最も適切な放射源は、DUV(深UV)(一般に、248nmまたは193nmエキシマレーザ源の利用を指す)、X線(正式には、X線域の比較的低いエネルギ域のEUVを含む)、および、eビーム(広いエネルギ域を網羅できる)である。かかる方法は、金属酸化物(例えば、他の非金属および非酸素基を含みうる、金属酸化物結合のネットワークを含む層)の膜を基板表面のイメージング/PR層として形成するために、(例えば、任意選択的に露出ヒドロキシル基を有する)基板を前駆体(例えば、本明細書に記載の任意の前駆体)と接触させる方法を含む。具体的な方法は、半導体基板及び最終的な半導体デバイスに利用される特定の材料および用途に依存しうる。したがって、本願に記載の方法は、現行技術で利用されうる方法および材料の例示にすぎない。いくつかの実施形態において、リソグラフィは、10nm~400nmの波長を有する放射線源の利用を含む。
直接フォトパターニング可能なEUVレジストが、金属および/または金属酸化物で構成され、または、それらを含んでよい。金属/金属酸化物は、EUV光子吸着を促進し、二次電子を発生させ、および/または、下層の膜スタックおよびデバイス層に対する高いエッチング選択比を示しうる点で、非常に見込みがある。これまで、これらのレジストは湿式(溶媒)アプローチを用いて現像されてきたが、このアプローチでは、ウエハをトラックに移動させる必要があり、そこで、ウエハは、現像溶媒に暴露され、乾燥およびベークされる。湿式現像は、生産性を制限するだけでなく、微細なフィーチャの間の溶媒の蒸発中の表面張力効果によりライン崩壊にもつながりうる。
基板の層間剥離および界面破壊を排除することによってこれらの課題を克服するために、乾式現像技術が提案された。乾式現像は、湿式現像と比較した場合に効果的なレジスト露光に必要な線量対サイズの要件が高くなりうる未露光およびEUV露光済みのレジスト材料の間のエッチング選択比など、独自の課題を持つ。また、準最適な選択比が、エッチングガス下でのより長い露光によってPRコーナーを丸める可能性があり、それは、次の転写エッチング工程においてラインCDのばらつきを増大させうる。リソグラフィ中に用いられるさらなる処理について、以下で詳述する。
乾式蒸着を含む蒸着処理
本明細書で論じられているように、本開示は、EUVまたはその他の次世代リソグラフィ技術を用いてパターニングされうる半導体基板上に下層およびイメージング層を形成するための方法を提供している。いくつかの実施形態において、乾式蒸着が、任意の有用な前駆体(例えば、本明細書に記載の炭化水素前駆体、ドーパント前駆体、金属ハロゲン化物、キャッピング剤、または、有機金属剤)を用いて、下層およびイメージング層を提供できる。方法は、重合された有機金属材料が蒸気中で生成されて下層の上に蒸着される方法を含む。他の実施形態において、スピンオン配合が用いられてもよい。蒸着処理は、レジスト膜またはEUV感受性膜としてEUV感受性材料を塗布する工程を含んでよい。
かかるEUV感受性膜は、EUVに露光されると、低密度のM-OHリッチ材料内で金属原子に結合したかさ高いペンダント配位子の喪失などの変化を受ける材料を含んでおり、より密度の高いM-O-M結合した金属酸化物材料への架橋を可能にする。他の実施形態において、EUV露光は、金属原子に結合した配位子の間にさらなる架橋をもたらすことにより、より高密度のM-L-M結合した有機金属材料を提供し、ここで、Lは配位子である。さらに他の実施形態において、EUV露光は、ポジティブトーン現像剤によって除去されうるM-OH材料を提供するために、配位子の喪失をもたらす。
EUVパターニングにより、露光されていない領域と比較して物理的特性または化学的特性が変化した膜の領域が形成される。これらの特性は、非露光領域または露光領域のいずれかを溶解するため、もしくは、露光領域または非露光領域のいずれかに材料を選択的に蒸着させるためなど、後続の処理で利用されうる。いくつかの実施形態において、露光されていない膜は、疎水性の表面を有し、露光された膜は、かかる後続の処理が実行される条件下で、親水性の表面を有する(露光および非露光領域の親水性は互いに対して相対的なものであると認識される)。例えば、材料の除去は、膜の化学組成、密度、および、架橋結合の差を利用して実行されてよい。除去は、本明細書にさらに説明するように、湿式処理または乾式処理による除去であってよい。
基板の表面上に形成されたEUVパターニング可能な膜の厚さは、表面の特徴、利用されている材料、および、処理条件に従って変わりうる。様々な実施形態において、膜の厚さは、約0.5nm~約100nmの範囲であってよい。膜は、EUVパターニングの条件下でEUV光のほとんどを吸収するのに十分な厚さを有することが好ましい。例えば、レジスト膜の全吸収率は、レジスト膜の底部のレジスト材料が十分に露光されるように、30%以下(例えば、10%以下または5%以下)であってよい。いくつかの実施形態において、膜の厚さは、10nm~20nmである。本技術の機序、機能、または、有用性を限定することなく、当該技術分野の湿式スピンコーティング処理とは異なり、本開示の処理は、基板の表面接着性への制限が少ないため、様々な基板に適用可能であると考えられる。さらに、上述のように、蒸着された膜は、表面フィーチャに厳密に一致しうるため、かかるフィーチャを「埋める」こともその他の方法で平坦化することもなしに、基板(下にあるフィーチャを有する基板など)上にマスクを形成する際に利点を提供する。
膜(例えば、下層および/またはイメージング層)は、任意の有用な方法で蒸着された金属酸化物層で構成されてよい。かかる金属酸化物層は、対反応物と組み合わせて、前駆体(例えば、金属含有前駆体、金属ハロゲン化物、キャッピング剤、または、有機金属剤)など、本明細書に記載の任意のEUV感受性材料を用いることにより、蒸着または塗布されうる。例となる処理において、重合した有機金属材料が、金属酸化物層を提供するために、気相内でまたは基板の表面上にその場で、形成される。金属酸化物層は、膜、接着層、または、キャッピング層として用いられてよい。
任意選択的に、金属酸化物層は、ヒドロキシル末端金属酸化物層を含んでもよく、その層は、酸素含有対反応物と共にキャッピング剤(例えば、本明細書に記載のいずれか)を利用することによって蒸着されうる。かかるヒドロキシル末端金属酸化物層は、例えば、基板と膜との間および/またはフォトレジスト層と下層との間など、2つの他の層の間の接着層として利用されうる。
(例えば、膜、下層、または、イメージング層のための)蒸着技術の例は、ALD(例えば、熱ALDおよびプラズマ強化ALD)、スピンコート蒸着、PVD同時スパッタリングなどのPVD、CVD(例えば、PE-CVDまたはLP-CVD)、スパッタ蒸着、電子ビーム同時蒸着を含む電子ビーム蒸着など、もしくは、それらの組み合わせ(ALDとCVD構成要素との組み合わせ、前駆体および対反応物が時間的または空間的に分離される不連続なALDのような処理など)など、本明細書に記載の任意の技術を含む。
本開示に適用可能な前駆体およびそれらをEUVフォトレジスト膜として蒸着するための方法についてのさらなる記載が、2019年5月9日に出願され、国際公開第WO2019/217749号として公開された国際出願第PCT/US19/31618号「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」で見られる。薄膜は、EUVに対する膜の感度を変更し、または、エッチング耐性を高めるなどの目的で、膜の化学的特性または物理的特性を変えるために、前駆体および対反応物に加えて、任意選択的な材料を含んでもよい。かかる任意選択的な材料は、基板への蒸着前の気相形成中、膜の蒸着後、または、その両方において、ドーピングすることなどによって、導入されてよい。いくつかの実施形態において、穏やかな遠隔Hプラズマが、例えば、いくつかのSn-L結合をSn-Hで置換するために導入されてよく、それにより、EUV下でのレジストの反応性を高めることができる。
一般に、方法は、重合した有機金属材料を形成するために、前駆体(例えば、有機金属剤などの金属含有前駆体)の蒸気流を任意選択的な対反応物の蒸気流と混合する工程と、半導体基板の表面上に有機金属材料を蒸着させる工程と、を備えうる。いくつかの実施形態において、前駆体および任意選択的な対反応物を混合することにより、重合した有機金属材料を形成できる。当業者によって理解されるように、処理の混合および蒸着の側面は、実質的に連続的な処理において並列に実行されてよい。
連続CVD処理の例において、前駆体および任意選択的な対反応物の供給源の2以上のガス流が、別個の流入路で、CVD装置の蒸着チャンバに導入され、そこで、それらのガスは、気相内で混合および反応して、(例えば、金属-酸素-金属結合の形成によって)凝集したポリマ材料または膜を基板上に形成する。ガス流は、例えば、別個の注入口またはデュアルプレナムシャワーヘッドを用いて、導入されてよい。装置は、前駆体および任意選択的な対反応物の流れがチャンバ内で混合されるよう構成されており、前駆体および任意選択的な対反応物が反応して、重合有機金属材料または膜(例えば、金属-酸素-金属結合の形成などによる、金属酸化物コーティングまたは凝集ポリマ材料)を形成することを可能にする。
金属酸化物を蒸着させるために、CVD工程は、一般に、0.1Torr~10Torrなどの減圧で実行される。いくつかの実施形態において、処理は、1Torr~2Torrの圧力で実行される。基板の温度は、反応物流の温度より低いことが好ましい。例えば、基板温度は、0℃~250℃、または、周囲気温度(例えば、23℃)~150℃、であってよい。
凝集ポリマ材料を蒸着させるために、CVD処理は、一般に、10mTorr~10Torrなどの減圧で実行される。いくつかの実施形態において、処理は、0.5~2Torrで実行される。基板の温度は、反応物流の温度以下であることが好ましい。例えば、基板温度は、0℃~250℃、または、周囲気温度(例えば、23℃)~150℃、であってよい。様々な処理において、基板上への重合有機金属材料の蒸着は、表面温度に反比例する速度で起きる。本技術の機序、機能、または、有用性を限定することなく、かかる気相反応による生成物は、金属原子が、対反応物によってクロスリンクされた後に、基板上に凝結または他の方法で蒸着されるので、分子量が大きくなると考えられる。様々な実施形態において、かさ高いアルキル基の立体障害が、高密度に詰まったネットワークの形成をさらに阻害し、多孔性の高い低密度の膜を生成する。
乾式蒸着法を用いることの潜在的な利点は、膜が成長する際に膜の組成を調整しやすいことである。CVD処理において、これは、蒸着中に第1前駆体および第2前駆体の相対流量を変化させることによって達成されてよい。蒸着は、30℃~200℃で、0.01Torr~100Torr(より一般的には、約0.1Torr~10Torr)の圧力で起こりうる。
また、膜(例えば、金属-酸素-金属結合の形成などによる、金属酸化物コーティングまたは凝集ポリマ材料)が、ALD処理によって蒸着されてもよい。例えば、前駆体および任意選択的な対反応物は、ALDサイクルを表す別個の時間に導入される。前駆体は、表面上で反応し、各サイクル中に一度に材料の単分子層までを形成する。これは、表面における膜厚の均一性の優れた制御を可能にする。ALD工程は、一般に、0.1Torr~10Torrなどの減圧で実行される。いくつかの実施形態において、処理は、1Torr~2Torrで実行される。基板温度は、0℃~250℃、または、周囲気温度(例えば、23℃)~150℃、であってよい。処理は、熱処理であってよく、または、好ましくはプラズマ支援蒸着であってよい。
本明細書の蒸着方法のいずれも、2以上の異なる前駆体の利用を可能にするよう変形されることができる。一実施形態において、前駆体は、同じ金属であるが異なる配位子を含みうる。別の実施形態において、前駆体は、異なる金属基を含みうる。非限定的な一例において、第1金属(例えば、Sn)を有する金属アルコキシド前駆体を、異なる第2金属(例えば、Te)を有するシリル系前駆体と共に利用するなど、様々な揮発性前駆体の交互流が、混合金属含有層を提供しうる。
本明細書の処理は、表面改質を達成するために利用可能である。数回の反復で、前駆体の蒸気が、ウエハ上を通されてよい。ウエハは、反応が進むための熱エネルギを提供するために加熱されてよい。数回の反復中に、加熱は、約50℃~約250℃の間であってよい。一部の例において、前駆体のパルスが利用され、ポンプおよび/またはパージ工程によって分離されてよい。例えば、第1前駆体が、第2前駆体パルスのパルスの合間にパルスされて、ALDまたはALDのような成長を引き起こしてよい。他の例において、両方の前駆体が、同時に流されてもよい。表面改質に有用な元素の例は、I、F、Sn、Bi、Sb、Te、および、酸化物、もしくは、これらの化合物の合金を含む。
本明細書の処理は、ALDまたはCVDによって薄い金属酸化物または金属を蒸着させるために利用できる。例は、酸化スズ(SnOx)、酸化ビスマス(BiOx)、および、Teを含む。蒸着後、膜は、本明細書の他の場所に記載されているように、Mの形態のアルキル置換前駆体でキャッピングされてよい。対反応物は、配位子をより良好に除去するために用いられてよく、複数サイクルが、基板表面の完全な飽和を保証するために繰り返されてよい。次いで、表面は、EUV感受性膜の蒸着に備えることができる。1つの可能な方法は、SnOxの薄膜を生成する方法である。可能な化学反応は、テトラキス(ジメチルアミノ)スズおよび対反応物(水またはOプラズマなど)を循環させることによるSnOの成長を含む。成長後、キャッピング剤を利用されてよい。例えば、イソプロピルトリス(ジメチルアミノ)スズ蒸気が、表面に流されてよい。
蒸着処理は、任意の有用な表面に対して利用可能である。本明細書で言及されているように、「表面」は、処理中に本技術の膜が蒸着される表面またはEUVに露光される表面である。かかる表面は、(例えば、膜が蒸着される)基板上、(例えば、キャッピング層が蒸着されうる)膜上、ハードマスク上、または、下層上に存在しうる。
特に集積回路およびその他の半導体デバイスの製造のための、リソグラフィ処理に適した任意の材料構成を含む、任意の有用な基板が用いられてよい。いくつかの実施形態において、基板は、シリコンウエハである。基板は、フィーチャ(「下層のトポグラフィフィーチャ」)が形成されたシリコンウエハであってよく、不規則な表面トポグラフィを有する。
かかる下層のトポグラフィフィーチャは、この技術の方法を実施する前に、処理中に材料が(例えば、エッチングによって)除去された領域または材料が(例えば、蒸着によって)追加された領域を含みうる。かかる前処理は、2以上のフィーチャ層が基板上に形成される反復プロセスに、この技術の方法またはその他の処理方法を含んでよい。本技術の機序、機能、または、有用性を限定することなく、いくつかの実施形態において、本技術の方法は、スピンキャスティング法を用いてフォトリソグラフィ膜が基板の表面上に蒸着される当該技術分野で周知の方法と比較して利点を提供すると考えられる。かかる利点は、かかるフィーチャを「埋める」こともその他の方法で平坦化することもない下層のフィーチャへの本技術の膜の一致と、様々な材料表面に膜を蒸着できる能力と、に由来しうる。
EUV露光処理
膜のEUV露光は、金属原子(M)を含む活性化された反応中心を有するEUV露光領域を提供でき、それらの反応中心は、EUVによる開裂事象によって生成される。かかる反応中心は、金属ダングリングボンド、M-H基、開裂したM-配位子基、二量体化したM-M結合、または、M-O-M架橋、を含みうる。
EUV露光は、真空雰囲気内で約10nm~約20nmの範囲の波長(10nm~15nm(例えば、13.5nm)の波長)を有してよい。特に、パターニングが、パターンを形成するためにEUV露光領域およびEUV非露光領域を提供できる。
本技術は、EUVを用いたパターニングだけでなく、DUVまたは電子ビームを用いたパターニングも含む。かかるパターニングでは、放射線が、イメージング層の1または複数の領域に合焦される。露光は、典型的には、イメージング層膜が、放射線に露光されない1または複数の領域を含むように実行される。結果として得られるイメージング層は、複数の露光領域および非露光領域を含むことで、基板の後続の処理において基板に対して材料を追加または除去することによって形成される半導体デバイスのトランジスタまたはその他のフィーチャの作成と一致するパターンを作成しうる。本明細書で有用なものの内の、EUV、DUV、および、電子ビーム放射の方法および装置は、当該分野で周知の方法および装置を含む。
一部のEUVリソグラフィ技術では、有機ハードマスク(例えば、PECVD非晶質水素化炭素のアッシャブルハードマスク)が、従来のフォトレジスト処理を用いてパターニングされる。フォトレジスト露光中、EUV放射線が、レジストおよび下方の基板に吸収され、高エネルギの光電子(例えば、約100eV)を発生させ、次に、数ナノメートルだけ側方に拡散する低エネルギの二次電子(例えば、約10eV)のカスケードを発生させる。これらの電子は、EUV線量感度を高める化学反応の進行度をレジストにおいて増大させる。しかしながら、本来ランダムである二次電子パターンが、光学画像に重ね合わせられる。この望ましくない二次電子暴露は、パターニングされたレジストにおいて、分解能の低下、観察可能なラインエッジラフネス(LER)、および、線幅の変動を生じさせる。これらの欠陥は、後のパターン転写エッチングの際に、パターニングされる材料に引き継がれる。
膜形成(蒸着/凝結)と光学リソグラフィとを組み合わせ、その結果として、EUVリソグラフィ(EUVL)の性能を大幅に改善する(例えば、ラインエッジラフネスを低減する)真空統合金属ハードマスク処理およびそれに関連する真空統合ハードウェアが、本明細書で開示されている。
本明細書に記載の様々な実施形態において、蒸着(例えば、凝結)処理(例えば、Lam Vector(登録商標)などのPECVDツールで実行されるALDまたはMOCVD)が、例えば、EUVL光源の波長(例えば、13.5nm=91.8eV)のEUV(例えば、10nm~20nmのオーダーの波長)に強力な吸収作用を持つ感光性の金属塩または金属含有有機化合物(有機金属化合物)などの金属含有膜の薄膜を形成するために利用されうる。この膜は、EUV露光時に光分解して、(例えば、Lam2300(登録商標)Kiyo(登録商標)などの導体エッチングツール内で)後のエッチング中に、パターン転写層である金属マスクを形成する。
蒸着後に、EUVパターニング可能な薄膜は、典型的には比較的高い真空下で、EUV光のビームへの露光によってパターニングされる。次いで、EUV露光に向けて、金属含有膜は、リソグラフィプラットフォーム(例えば、ASML社(オランダ、フェルドホーヘン)製のTWINSCAN NXE:3300B(登録商標)などのウエハステッパ)と一体化されたチャンバ内で蒸着され、露光前に反応しないように真空下で搬送されうる。リソグラフィツールとの一体化は、周囲の気体(HO、Oなど)による入射光子の強力な光吸収を前提とすると、EUVLには非常に低い圧力も必要であるという事実によって促進される。他の実施形態において、感光性金属膜蒸着およびEUV露光は、同じチャンバ内で実行されてもよい。
乾式現像を含む現像処理
EUV露光領域または非露光領域は、任意の有用な現像処理によって除去されうる。一実施形態において、EUV露光領域は、金属ダングリングボンド、M-H基、または、二量体化したM-M結合など、活性化された反応中心を有しうる。特定の実施形態において、M-H基が、1または複数の乾式現像処理(例えば、ハロゲン化物化学作用)を用いて選択的に除去されうる。他の実施形態において、M-M結合が、湿式現像処理を用いて(例えば、可溶性のM(OH)基を提供するために高温のエタノールおよび水を利用して)選択的に除去されうる。さらに他の実施形態において、EUV露光領域が、湿式現像を用いて(例えば、ポジティブトーン現像剤を用いて)除去される。いくつかの実施形態において、EUV非露光領域が、乾式現像を用いて除去される。
乾式現像処理は、HClベースまたはHBrベースの処理など、ハロゲン化物の利用を含みうる。本開示は、動作の特定の理論または機序のいずれにも限定されないが、アプローチは、蒸気またはプラズマを用いて揮発性の生成物を形成するために、洗浄剤(例えば、HCl、HBr、および、BCl)との乾式蒸着済みEUVフォトレジスト膜の化学反応性を利用すると理解される。乾式蒸着されたEUVフォトレジスト膜は、1nm/秒までのエッチング速度で除去されうる。これらの化学作用による乾式蒸着済みEUVフォトレジスト膜の迅速な除去は、チャンバ洗浄、背面洗浄、ベベル洗浄、および、PR現像に適用可能である。膜は、様々な温度の蒸気(例えば、-10°Cより高い温度のHClまたはHBr、もしくは、80°Cより高い温度のBCl)を用いて除去できるが、反応性をさらに加速または強化するために、プラズマを用いてもよい。
プラズマ処理は、トランス結合プラズマ(TCP)、誘導結合プラズマ(ICP)、または、容量結合プラズマ(CCP)を含み、当業者に周知のものに含まれる装置および技術を利用する。例えば、処理は、>0.5mTorr(例えば、1mTorr~100mTorrなど)の圧力で、<1000W(例えば、<500W)の電力レベルで実行されてよい。温度は、1~3000秒(例えば、10秒~600秒)の間に、100~1000標準立方センチメートル毎分(sccm)(例えば、約500sccm)の流量で、30°C~300°C(例えば、30°C~120°C)であってよい。
ハロゲン化物反応物の流れが水素ガスおよびハロゲン化物ガスである場合、遠隔プラズマ/UV放射が、HとClおよび/またはBrとからラジカルを生成するために用いられ、水素およびハロゲン化物のラジカルは、ウエハの基板層上のパターニングされたEUVフォトレジストと接触するように反応チャンバに流される。適切なプラズマ電力は、バイアスなしで、100W~500Wの範囲でありうる。これらの条件は、いくつかの処理リアクタ(例えば、カリフォルニア州フレモントのLam Research社製のKiyoエッチングツールなど)に適しているが、より広い範囲の処理条件が、処理リアクタの性能に応じて利用されてよいことを理解されたい。
熱現像処理において、基板は、真空チャンバ(例えば、オーブン)内で乾式現像剤(例えば、ルイス酸)に暴露される。適切なチャンバは、真空ラインと、乾式現像ハロゲン化水素化合物ガス(例えば、HBr、HCl)ラインと、温度制御のためのヒータと、を備えうる。いくつかの実施形態において、チャンバ内部は、耐食性の膜(有機ポリマまたは無機コーティングなど)でコーティングされていてよい。1つのかかるコーティングは、ポリテトラフルオロエチレン((PTFE)、例えば、Teflon(商標))である。かかる材料は、プラズマ暴露による除去のリスクなしに、本開示の熱処理で利用できる。
乾式現像の処理条件は、フォトレジスト膜ならびにそれらの組成および特性に依存して、プラズマなしで、約10秒~1分の期間にわたって、100sccm~500sccmの反応物流量(例えば、500sccmのHBrまたはHCl)、-10°C~120°C(例えば、-10°C)の温度、および、1mTorr~500mTorr(例えば、300mTorr)の圧力、であってよい。
様々な実施形態において、本開示の方法は、膜蒸着、蒸着による形成、(EUV)リソグラフィフォトパターニング、および、乾式現像のすべての乾式工程を組み合わせる。かかる処理では、基板は、EUVスキャナにおけるフォトパターニングの後に、乾式現像/エッチングチャンバに直行してよい。かかる処理は、湿式現像に関連する材料および生産コストを回避しうる。また、乾式処理は、さらなる調整可能性を提供し、さらなるCD制御および/またはスカム除去を与えうる。
様々な実施形態において、或る程度の量の金属、金属酸化物、および、有機成分を含むEUVフォトレジストは、化学式Rの化合物を含む乾式現像ガス(ここで、R=B、Al、Si、C、S、SOであり(x>0)、Z=Cl、H、Br、F、CHである(y>0))を流す間に、熱プラズマ(例えば、ランプ加熱またはUVランプ加熱などで光活性化可能なプラズマなど)、もしくは、熱的方法およびプラズマ方法の併用によって乾式現像されてよい。乾式現像は、ポジティブトーンをもたらすことが可能であり、その場合、R種が、露光された材料を選択的に除去し、露光されていない残り部分をマスクとして残す。いくつかの実施形態において、有機スズ酸化物系のフォトレジスト膜の露光部分は、本開示に従って乾式現像によって除去される。ポジティブトーン乾式現像は、EUV露光領域が、プラズマを点火することなしに、HClおよび/またはHBrなど、ハロゲン化水素または水素とハロゲン化物を含む流れに暴露され、もしくは、ラジカルを生成するためにプラズマから生成された遠隔プラズマまたはUV放射線と共にHとClおよび/またはBrとの流れに暴露される、選択的な乾式現像(除去)によって達成されてよい。
湿式現像方法が利用されてもよい。特定の実施形態において、かかる湿式現像方法は、ポジティブトーンフォトレジストまたはネガティブトーンレジストを提供する目的で、EUV露光領域を除去するために用いられる。非限定的な湿式現像の例は、アンモニウム(例えば、水酸化アンモニウム(NHOH)、アンモニウム系イオン液体(例えば、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)、または、その他の第4級アルキルアンモニウムヒドロキシド)、モノ-、ジ-、および、トリ-オルガノアミンなどの有機アミン(例えば、ジメチルアミン、ジエチルアミン、エチレンジアミン、トリエチレンテトラミン)、もしくは、アルカノールアミン(モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、または、ジエチレングリコールアミンなど)を含むものなど、アルカリ現像剤(例えば、水性アルカリ現像剤)の利用を含みうる。他の実施形態において、アルカリ現像剤は、窒素含有塩基(例えば、化学式RN1NH、R1RN2NH、RN1N2N3N、または、RN1N2N3N4N1-を有する化合物、ここで、RN1、RN2、R3、および、RN4の各々は、独立していて、有機置換基(例えば、任意選択的に置換されたアルキルまたは本明細書に記載の任意の置換基)、または、結合可能な2以上の有機置換基であり、XN1-は、OH、F、Cl、Br、I、または、その他の当業者に周知の第4級アンモニウムカチオン種である)を含みうる。これらの塩基は、当業者に周知のヘテロシクリル窒素化合物を含んでもよく、かかる化合物の一部が、本明細書に記載されている。
その他の現像方法は、ハロゲン化物(例えば、HClまたはHBr)、有機酸(例えば、ギ酸、酢酸、または、クエン酸)、または、有機フッ素化合物(例えば、トリフルオロ酢酸)を含む酸性現像剤(例えば、水性酸性現像剤、または、有機溶媒中の酸性現像剤)の利用、もしくは、有機現像剤(ケトン(例えば、2-ヘプタノン、シクロヘキサノン、または、アセトン)、エステル(例えば、γ-ブチロラクトンまたはエチル3-エトキシプロピオネート(EEP))、アルコール(例えば、イソプロピルアルコール(IPA))、または、エーテル(グリコールエーテル(例えば、プロピレングリコールメチルエーテル(PGME)またはプロピレングリコールメチルエーテルアセテート(PGMEA)))の利用と、それらの組み合わせと、を含みうる。
特定の実施形態において、ポジティブトーン現像剤は、(例えば、NHOH、TMAH、TEAH、TPAH、または、TBAHを含む)水性アルカリ現像剤である。他の実施形態において、ネガティブトーン現像剤は、水性酸性現像剤、有機溶媒中の酸性現像剤、または、有機現像剤(例えば、HCl、HBr、ギ酸、トリフルオロ酢酸、2-ヘプタノン、IPA、PGME、PGMEA、または、それらの組み合わせ)である。
塗布後処理
本明細書の方法は、以下に記載するように、任意の有用な塗布後処理を含んでよい。
背面およびベベルの洗浄処理では、蒸気および/またはプラズマは、ウエハの正面上で膜の劣化を全く引き起こすことなしに背面およびベベルのみが除去されることを保証するために、ウエハの特定の領域に制限されうる。除去される乾式蒸着済みのEUVフォトレジスト膜は、一般に、Sn、O、および、Cで構成されているが、同じ洗浄アプローチを他の金属酸化物レジストおよび材料の膜にも拡張できる。さらに、このアプローチは、膜の剥離およびPRの修正にも利用できる。
乾式のベベルエッジおよび背面の洗浄に適した処理条件は、フォトレジスト膜ならびに組成および特性に依存して、約10秒~20秒の期間にわたって、100sccm~500sccmの反応物流(例えば、500sccmのHCl、HBr、もしくは、HとClまたはBr、BCl、もしくは、H)、-10°C~120°C(例えば、20°C)の温度、20mTorr~500mTorr(例えば、300mTorr)の圧力、高周波数(例えば、13.56MHz)で0~500Wのプラズマ電力、であってよい。これらの条件は、いくつかの処理リアクタ(例えば、カリフォルニア州フレモントのLam Research社製のKiyo(登録商標)エッチングツールなど)に適しているが、より広い範囲の処理条件が、処理リアクタの性能に応じて利用されてよいことを理解されたい。
フォトリソグラフィ処理は、典型的に、フォトレジストの露光領域と非露光領域との間の化学的な差異を生み出すのに必要な化学反応を促進するために、1または複数のベーク工程を含む。大量生産(HVM)では、かかるベーク工程は、典型的には、ウエハが周囲空気または場合によってはN流の下で事前設定された温度のホットプレート上でベークされるトラック上で実行される。ベーク雰囲気をより注意深く制御すると共に、これらのベーク工程中に雰囲気内にさらなる反応ガス成分を導入することで、さらなる必要線量の低減および/またはパターン忠実度の改善に役立ちうる。
本開示の様々な態様によれば、蒸着後(例えば、塗布後ベーク(PAB))および/または露光後(例えば、露光後ベーク(PEB))および/または現像後(例えば、現像後ベーク(PDB))の金属および/または金属酸化物系のフォトレジストに対する1または複数の後処理により、露光フォトレジストと非露光フォトレジストとの間の材料特性の差を増大させ、したがって、線量対サイズ(DtS)を減少させ、PRプロファイルを改善し、後続の乾式現像後のラインエッジラフネスおよびライン幅ラフネス(LER/LWR)を改善することができる。かかる処理は、温度、ガス雰囲気、および、湿度の制御と共に熱処理を含むことで、後続の処理において乾式現像の性能を向上させることができる。いくつかの例において、遠隔プラズマが用いられてもよい。
塗布後処理(例えば、PAB)の場合、温度、ガス雰囲気(例えば、空気、HO、CO、CO、O、O、CH、CHOH、N、H、NH、NO、NO、Ar、He、または、それらの混合物)または真空下、ならびに、湿度の制御と共に熱処理を用いて、蒸着後に露光する前に、未露光の金属および/または金属酸化物フォトレジストの組成を変化させることができる。その変化は、材料のEUV感度を高めうるため、より低い線量対サイズおよびエッジラフネスが露光および乾式現像の後に達成されうる。
露光後処理(例えば、PEB)の場合、温度、ガス雰囲気(例えば、空気、HO、CO、CO、O、O、CH、CHOH、N、H、NH、NO、NO、Ar、He、または、それらの混合物)または真空下、ならびに、湿度の制御と共に熱処理を用いて、非露光および露光フォトレジスト両方の組成を変化させることができる。その変化は、非露光フォトレジストと露光フォトレジストとの間の組成/材料特性の差、および、非露光フォトレジストと露光フォトレジストとの間の乾式現像エッチングガスのエッチング速度の差、を増大させうる。それによって、より高いエッチング選択比が達成されうる。改善した選択比により、より正方形に近いPRプロファイルが、改善された表面粗さおよび/またはより少ないフォトレジスト残留物/スカム共に得られうる。特定の実施形態において、PEBは、空気中で、水蒸気およびCOの任意選択的な存在下で実行されうる。
現像後処理(例:現像後ベークすなわちPDB)の場合、温度、ガス雰囲気(例:空気、HO、CO、CO、O、O、CH、CHOH、N、H、NH、NO、NO、Ar、He、または、それらの混合物)または真空下(例えば、UVと共に)、ならびに、湿度の制御と共に熱処理を用いて、非露光フォトレジストの組成を変化させることができる。特定の実施形態において、条件は、さらに、プラズマ(例えば、O、O、Ar、He、または、それらの混合物を含む)の利用を含む。その変化は、材料の硬度を高めることができ、これは、下層の基板をエッチングする時に、膜がレジストマスクとして利用される場合に、役立ちうる。
これらの場合に、別の実施例において、熱処理は、反応種を増加させることで、反応のためのエネルギ障壁を低くすると共に生産性を高めるために、遠隔プラズマ処理に置き換えられてもよい。遠隔プラズマは、より多くの反応性ラジカルを生成するため、処理の反応温度/時間を低減させうるので、生産性の向上につながる。
したがって、1または複数回の処理が、フォトレジスト自体を改質して乾式現像選択比を高めるために適用されてよい。この熱またはラジカルによる改質は、非露光材料と露光材料との間の差異を増大させるため、後続の乾式現像工程の選択比を高めうる。結果として得られる非露光材料および露光材料の間の材料特性の差は、温度、ガス流量、湿度、圧力、および/または、RF電力を含む処理条件を調節することによって調整可能である。湿式現像剤溶媒への材料溶解度によって制限されない乾式現像によって可能になる大きい処理許容度は、より積極的な条件の適用を可能にし、達成できる材料の差異をさらに強化する。結果として得られる大きい材料の差異は、乾式現像のためのより広いプロセスウィンドウをフィードバックし、したがって、高い生産性、低いコスト、良好な欠陥性能を可能にする。
湿式現像されたレジスト膜の実質的な制限は、温度の制限されたベークである。湿式現像は、材料溶解度に依存するので、例えば、220℃以上に加熱すると、露光領域および非露光領域の両方が湿式現像溶媒に溶けなくなるように、金属含有PR膜の露光および非露光の両領域における架橋結合の程度が大幅に高くなる可能性があり、その結果、膜は、もはや確実に湿式現像できなくなる。レジストの露光または非露光の部分だけを除去するためにPRの露光領域と非露光領域との間のエッチング速度の差(すなわち、選択比)に依存する、乾式現像レジスト膜については、PAB、PEB、または、PDBにおける処理温度は、処理プロセスを調整および最適化するために、例えば、PABには約90℃~250℃(90℃~190℃など)、ならびに、PEBおよび/またはPDBについては約170℃~250℃以上(190℃~240℃など)など、はるかに広いウィンドウにわたって変更されうる。上述の範囲内で処理温度が高くなるにつれて、エッチング速度の低下およびエッチング選択比の上昇が起きることがわかった。
特定の実施形態において、PAB、PEB、および/または、PDB処理は、100sccm~10000sccmの範囲のガス雰囲気流量、数パーセント~最大100%(例えば、20%~50%)の湿度、大気圧と真空との間の圧力、および、約1~15分間の持続期間(例えば、約2分間)で実行されてよい。
これらの所見は、特定の材料および環境に対して処理を調整または最適化する目的で処理条件を調整するために利用できる。例えば、約2分間、約20%の湿度の空気中で220℃~250℃のPEB熱処理を行った場合に、所与のEUV線量に対して達成される選択比は、かかる熱処理を行っていない場合の約30%高いEUV線量に対する選択比と同等でありうる。したがって、半導体処理動作の選択比の要件/制約に応じて、本明細書に記載するような熱処理を用いて、必要なEUV線量を低減することができる。あるいは、より高い選択比が要求され、より高い線量が許容されうる場合、湿式現像の文脈で可能であるよりもはるかに高い選択比(最大で100倍の露光部対非露光部の選択比)を得ることができる。
さらに他の工程は、フォトリソグラフィ処理中に物理的および構造的な特徴(例えば、クリティカルディメンション、膜厚など)を評価できるその場測定を含みうる。その場測定を実現するためのモジュールは、例えば、散乱計、偏向解析、下流質量分析、および/または、プラズマ強化下流発光分光モジュール、を含む。
装置
また、本開示は、本明細書に記載の任意の方法を実行するよう構成されている任意の装置も含む。一実施形態において、膜を蒸着するための装置は、下層および/またはイメージング層を提供するために1または複数の前駆体を蒸着するためのチャンバを備えた蒸着モジュールと、30nm未満の波長の放射線源を有するEUVフォトリソグラフィツールを備えたパターニングモジュールと、かかる層を含む膜を現像するためのチャンバを備えた現像モジュールと、を備える。
装置は、さらに、かかるモジュールのための命令を有するコントローラを備えてよい。一実施形態において、コントローラは、1または複数のメモリデバイスと、1または複数のプロセッサと、膜の蒸着を実行するための命令を有するようコードされたシステム制御ソフトウェアと、を備える。かかる含有は、蒸着モジュールにおいて、下層および/またはイメージング層を提供するために1または複数の前駆体を蒸着し、パターニングモジュールにおいて、EUV露光によって直接的に30nm未満の分解能で層をパターニングすることにより、膜内にパターンを形成し、現像モジュールにおいて、膜を現像することを含みうる。特定の実施形態において、現像モジュールは、EUV露光領域またはEUV非露光領域の除去を提供し、それにより、膜内にパターンを提供する。
図8は、本明細書に記載されている蒸着および乾式現像の実施形態の実施に適した低圧環境を維持するための処理チャンバ本体302を有する処理ステーション300の一実施形態を示す概略図である。複数の処理ステーション300が、共通の低圧処理ツール環境内に備えられてよい。例えば、図9は、マルチステーション処理ツール400の一実施形態(カリフォルニア州フレモントのLam Research社製のVECTOR(登録商標)処理ツールなど)を示す。いくつかの実施形態において、処理ステーション300の1または複数のハードウェアパラメータ(以下で詳述するパラメータなど)が、1または複数のコンピュータコントローラ350によってプログラム的に調整されてよい。
処理ステーションは、クラスタツール内のモジュールとして構成されていてよい。図11は、本明細書に記載の実施形態の実装に適した真空統合された蒸着モジュールおよびパターニングモジュールを備える半導体処理クラスタツールアーキテクチャを示す図である。かかるクラスタ処理ツールアーキテクチャは、上述して図10~11を参照して詳述するように、PRおよび下層の蒸着、レジスト露光(EUVスキャナ)、レジスト乾式現像、および、エッチングのためのモジュールを備えてよい。
いくつかの実施形態において、処理機能の内のいくつか(例えば、蒸着(例えば、PECVD)、乾式現像、および、エッチング)は、同じモジュール内で連続的に実行されてよい。そして、本開示の実施形態は、基板を処理するための装置に向けられたものであり、その装置は、基板支持体を備えた処理チャンバと、処理チャンバおよび関連する流量制御ハードウェアに接続されている処理ガス源と、処理チャンバに接続されている基板ハンドリングハードウェアと、プロセッサおよびメモリを有するコントローラと、を有する。いくつかの実施例において、プロセッサおよびメモリは、互いに通信可能に接続され、プロセッサは、流量制御ハードウェアおよび基板ハンドリングハードウェアと少なくとも動作可能に接続され、メモリは、本明細書に記載のパターニング構造を形成する方法における工程を実行するためのコンピュータ実行可能な命令を格納している。
例えば、メモリは、例えば化学蒸着(例えば、PECVD)によって、基板上に蒸着されたハードマスクを提供するためのコンピュータ実行可能命令を格納してよい。上述のように、適切なハードマスクは、例えば、非ドープもしくはBまたはWでドープされた非晶質炭素アッシャブルハードマスク膜でありうる。
メモリは、さらに、基板および/またはハードマスク上に下層を蒸着させるための命令を格納していてよく、下層は、基板および/またはハードマスクと、後に形成されるEUV感受性無機フォトレジストとの間の接着性を高めると共にフォトレジストの効果的なEUV露光のためのEUV線量を低減するよう構成されている。例えば、上述のように、下層は、非炭素のヘテロ原子(例えば、O、Si、N、W、B、I、Clなど、本明細書中の任意のもの)でドープされた水素化炭素の蒸着膜であってよく、または、それを備えてよく、その膜は、約25nm以下の厚さを有し、約0~30%のOを含んでよい。いくつかの実施例において、下層は、炭化水素前駆体および/またはドーパント前駆体を用いてPECVDまたはALDによって基板および/またはハードマスク上に蒸着されてよい。他の実施形態において、下層は、Hまたは炭化水素と共反応するオキソカーボン前駆体を用いてPECVDまたはALDによって基板および/またはハードマスク上に蒸着されてよい。この実施例の変形例において、オキソカーボン前駆体は、蒸着中にSi源ドーパントとさらに共反応してもよい。他の実施例において、下層は、酸化剤(例えば、本明細書に記載の任意のO含有前駆体)と共反応するSi含有前駆体を用いてPECVDまたはALDによって基板および/またはハードマスク上に蒸着されてよい。この実施例の変形例において、Si含有前駆体は、さらに、C源ドーパントと共反応する。いくつかの実施形態において、下層は、例えば、下層の所望の組成に達するために、PECVD処理チャンバに入る前駆体の流れを調整することにより、基板上への蒸着または基板上のハードマスクの蒸着の終了動作として、PECVDによって基板および/またはハードマスク上に蒸着されてよい。
メモリは、さらに、フォトレジスト下層上に形成されるEUV感受性無機フォトレジストを形成するための命令を格納してよい。適切なEUV感受性無機フォトレジストは、上述したようなEUV感受性の酸化スズ系のフォトレジストなど、金属酸化物膜でありうる。
図8に戻ると、処理ステーション300は、分配シャワーヘッド306に処理ガスを供給するための反応物質供給システム301aと流体連通している。反応物質供給システム301aは、任意選択的に、シャワーヘッド306への供給に向けて処理ガスを混合および/または調整するための混合容器304を備える。1または複数の混合容器入口バルブ320が、混合容器304への処理ガスの導入を制御しうる。プラズマ暴露が利用される場合、プラズマが、シャワーヘッド306に供給されてもよいし、処理ステーション300で生成されてもよい。上述のように、少なくともいくつかの実施形態において、非プラズマ熱暴露が好ましい。
図8は、混合容器304に供給される液体反応物質を気化させるための任意選択的な気化ポイント303を含む。いくつかの実施形態において、気化ポイント303の上流に、液体流コントローラ(LFC)が、気化および処理ステーション300への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、LFCは、LFCの下流に配置されている熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。
シャワーヘッド306は、処理ガスを基板312に分配する。図8に示した実施形態において、基板312は、シャワーヘッド306の下方に配置され、ペデスタル308上に図示されている。シャワーヘッド306は、任意の適切な形状を有してよく、基板312へ処理ガスを分配するための任意の適切な数および配列のポートを有してよい。
いくつかの実施形態において、ペデスタル308は、基板312を基板312とシャワーヘッド306との間の空間に露出させるために、上下されてよい。いくつかの実施形態において、ペデスタルの高さは、適切なコンピュータコントローラ350によってプログラム的に調節されてよいことがわかる。
いくつかの実施形態において、ペデスタル308は、ヒータ310を用いて温度制御されてよい。いくつかの実施形態において、ペデスタル308は、ハロゲン化水素乾式現像剤(HBrまたはHClなど)へのフォトパターニング済みレジストの非プラズマ熱暴露中に、0℃~300℃以上(例えば、約65~80℃など、50~120℃)の温度に加熱されてよい。
さらに、いくつかの実施形態において、処理ステーション300の圧力制御が、バタフライバルブ318によって提供されてもよい。図8の実施形態に示すように、バタフライバルブ318は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理ステーション300の圧力制御は、処理ステーション300に導入される1または複数のガスの流量を変化させることによって調節されてもよい。
いくつかの実施形態において、シャワーヘッド306の位置は、基板312とシャワーヘッド306との間の空間を変化させるために、ペデスタル308に対して調節されてよい。さらに、ペデスタル308および/またはシャワーヘッド306の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル308は、基板312の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、1または複数の適切なコンピュータコントローラ350によってプログラム的に実行されてよいことがわかる。
例えば、穏やかなプラズマベースの乾式現像の実施形態および/または同じチャンバ内で実行されるエッチング動作で、プラズマが利用されうる場合、シャワーヘッド306およびペデスタル308は、プラズマに電力供給するためにRF電源314および整合回路網316と電気連通している。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することによって制御されてよい。例えば、RF電源314および整合回路網316は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例は、約500Wまでの電力である。同様に、RF電源314は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源314は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、50kHz~1000kHzの周波数を含みうるが、これに限定されない。高周波RF周波数の例は、1.8MHz~2.45GHzの周波数(例えば、約13.56MHz)を含みうるが、これに限定されない。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。非限定的な一例において、プラズマ電力は、連続的に電力供給されたプラズマと比べて基板表面とのイオン衝撃を低減するために、間欠的にパルス化されてよい。RF電源は、任意の適切なデューティサイクルで動作されてよい。適切なデューティサイクルの例は、約5%~90%の間のデューティサイクルを含むが、これに限定されない。許容可能な処理圧は、約20mTorr~5Torrである。
いくつかの例において、RF電力は、連続的であってもよいし、1または複数のレベルの間でパルス化されてもよい。パルス化動作が用いられる場合、パルス化は、1Hz~1MHzの範囲の周波数で実行されてよい。いくつかの例において、チャンバ圧は、5mTorr~450mTorrの範囲内の所定の圧力に維持される。他の例において、蒸着および処理は、5mTorr~150mTorrの範囲内の圧力で実行される。さらに他の例において、蒸着および処理は、5mTorr~35mTorrの範囲内の圧力で実行される。
一部の蒸着処理において、プラズマ衝突は、数秒以上のオーダーの持続期間にわたって続く。特定の実施例では、より短いプラズマ衝突が用いられてもよい。これらは、10ミリ秒~1秒のオーダー(通例は、約20~80ミリ秒)であってよく、50ミリ秒が具体的な例である。かかる非常に短いRFプラズマ衝突は、非常に迅速なプラズマ安定化を必要とする。これを達成するために、プラズマ発生器は、周波数が変動することが許容された状態でインピーダンス整合が特定の電圧に予め設定されるように構成されてよい。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書に記載の様々な実施形態において、周波数は、この標準値とは異なる値に変動することを許容される。インピーダンス整合を所定の電圧に固定しつつ周波数の変動を許すことにより、プラズマは、はるかに迅速に安定化することが可能であり、この結果は、いくつかのタイプの蒸着サイクルに関連する非常に短いプラズマ衝突を利用する場合に重要でありうる。
いくつかの実施形態において、コントローラ350のための命令が、入力/出力制御(IOC)シーケンシング命令を介して提供されてよい。一例において、処理段階の条件を設定するための命令は、処理レシピの対応するレシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のリアクタパラメータを設定するための命令が、レシピ段階に含まれてよい。例えば、レシピ段階は、フォトレジスト下層オキソカーボン前駆体と、Hまたは炭化水素共反応物と、任意選択的なドーパントとの流量を設定するための命令を含んでよい。いくつかの実施形態において、コントローラ350は、図9のシステムコントローラ450に関して後述する特徴の内のどれを備えてもよい。
上述のように、1または複数の処理ステーションが、マルチステーション処理ツールに含まれてよい。図9は、入口ロードロック402および出口ロードロック404を備えたマルチステーション処理ツール400の一実施形態を示す概略図であり、ロードロックの一方または両方は、遠隔プラズマ源を備えてよい。大気圧下にあるロボット406が、ポッド408を通してロードされたカセットから大気ポート410を介して入口ロードロック402内にウエハを移動させるよう構成されている。ウエハがロボット406によって入口ロードロック402内のペデスタル412上に載置され、大気ポート410が閉じられ、ロードロックがポンプ排気される。入口ロードロック402が遠隔プラズマ源を備える場合、ウエハは、処理チャンバ414に導入される前にロードロック内で表面を処理するための遠隔プラズマ処理を受けてよい。さらに、ウエハは、例えば、湿気および吸着ガスを除去するために、入口ロードロック402内で加熱されてもよい。次に、処理チャンバ414へのチャンバ移動ポート416が開かれ、別のロボット(図示せず)が、処理に向けて、リアクタにウエハを入れて、リアクタ内に示された第1のステーションのペデスタル上に配置する。図9に示した実施形態は、ロードロックを備えているが、いくつかの実施形態において、処理ステーションにウエハを直接入れてもよいことがわかる。
図の処理チャンバ414は、図9に示した実施形態において、1から4までの番号を付した4つの処理ステーションを備える。各ステーションは、加熱されたペデスタル(ステーション1用は418と示されている)と、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的または複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、乾式現像モードおよびエッチング処理モードの間で切り替え可能であってもよい。追加的または代替的に、いくつかの実施形態において、処理チャンバ414は、1または複数のマッチドペアの乾式現像ステーションおよびエッチング処理ステーションを備えてもよい。図の処理チャンバ414は4つのステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、5以上のステーションを有してもよく、他の実施形態において、処理チャンバは、3以下のステーションを有してもよい。
図9は、処理チャンバ414内でウエハを移動するためのウエハハンドリングシステム490の一実施形態を示す。いくつかの実施形態において、ウエハハンドリングシステム490は、様々な処理ステーションの間で、および/または、処理ステーションとロードロックとの間で、ウエハを移動させうる。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよびウエハハンドラロボットを含む。図9は、さらに、処理ツール400の処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ450の一実施形態を示す。システムコントローラ450は、1または複数のメモリデバイス456と、1または複数のマスストレージデバイス454と、1または複数のプロセッサ452と、を備えてよい。プロセッサ452は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ450は、処理ツール400の動作すべてを制御する。システムコントローラ450は、マスストレージデバイス454に格納され、メモリデバイス456にロードされて、プロセッサ452で実行されるシステム制御ソフトウェア458を実行する。あるいは、制御ロジックがコントローラ450にハードコードされてもよい。これらの目的で、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイすなわちFPGA)などが用いられてもよい。以下では、「ソフトウェア」または「コード」が利用される場合、機能的に同等のハードコードされたロジックが代わりに利用されうる。システム制御ソフトウェア458は、タイミングと、ガスの混合と、ガス流量と、チャンバおよび/またはステーションの圧力と、チャンバおよび/またはステーションの温度と、ウエハ温度と、目標電力レベルと、RF電力レベルと、基板ペデスタル、チャック、および/または、サセプタの位置と、処理ツール400によって実行される特定の処理の他のパラメータと、を制御するための命令を備えてよい。システム制御ソフトウェア458は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために用いられる処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェア458は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態において、システム制御ソフトウェア458は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。システムコントローラ450に関連付けられたマスストレージデバイス454および/またはメモリデバイス456に格納された他のコンピュータソフトウェアおよび/またはプログラムが、いくつかの実施形態において用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル418上にロードすると共に基板と処理ツール400の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のためのプログラムコードを備えてよい。
プロセスガス制御プログラムは、ハロゲン化水素ガスの組成(例えば、本明細書に記載のように、HBrまたはHClガス)および流量を制御するため、ならびに、任意選択的に、処理ステーション内の圧力を安定させるために蒸着の前に1または複数の処理ステーション内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するためのコードを備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、基板への熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーション内の処理電極に印加されるRF電力レベルを設定するためのコードを備えてよい。
圧力制御プログラムは、本明細書の実施形態に従って、反応チャンバ内の圧力を維持するためのコードを備えてよい。
いくつかの実施形態において、システムコントローラ450に関連したユーザインターフェースがあってよい。ユーザインターフェースは、ディスプレイスクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラ450によって調整されるパラメータは、処理条件に関してよい。非制限的な例として、処理ガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベル、周波数、および、暴露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ450のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、処理ツール400のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ450は、上述の蒸着処理を実施するためのプログラム命令を提供しうる。プログラム命令は、直流電流(DC)電力レベル、RFバイアス電力レベル、圧力、温度など、様々な処理パラメータを制御しうる。命令は、本明細書に記載の様々な実施形態に従ってフォトレジスト下層蒸着処理を動作させるためにパラメータを制御しうる。
システムコントローラ450は、通例、1または複数のメモリデバイスと、装置が開示の実施形態に従って方法を実行するように命令を実行するよう構成された1または複数のプロセッサと、を備える。開示された実施形態に従った処理動作を制御するための命令を含むマシン読み取り可能媒体が、システムコントローラ450に接続されてよい。
いくつかの実施例において、システムコントローラ450は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。システムコントローラ450は、処理条件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、システムコントローラ450は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でシステムコントローラ450に伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
システムコントローラ450は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、システムコントローラ450は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ450は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにシステムコントローラ450がインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、システムコントローラ450は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置されている(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、乾式現像チャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、システムコントローラ450は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
特定の実施形態において、いくつかの実施形態の実施に適したエッチング動作に適切でありうる誘導結合プラズマ(ICP)リアクタについて、ここで記載する。本明細書ではICPリアクタが記載されているが、いくつかの実施形態において、容量結合プラズマリアクタが用いられてもよいことを理解されたい。
図10は、(乾式)蒸着、乾式現像、および/または、エッチングなど、特定の実施形態または実施形態の態様を実施するのに適切な誘導結合プラズマ装置500を示す断面図であり、かかる装置の一例は、カリフォルニア州フレモントのLam Research社製のKiyo(登録商標)リアクタである。他の実施形態において、本明細書に記載の乾式蒸着、現像、および/または、エッチング処理を実行する機能を有する他のツールまたはツールタイプが、実施のために用いられてもよい。
誘導結合プラズマ装置500は、チャンバ壁501および窓511によって構造的に規定された全体処理チャンバ524を備える。チャンバ壁501は、ステンレス鋼またはアルミニウムから製造されてよい。窓511は、石英またはその他の誘電材料から製造されてよい。任意選択的な内部プラズマグリッド550が、全体処理チャンバを上側サブチャンバ502および下側サブチャンバ503に分割している。ほとんどの実施形態において、プラズマグリッド550を取り除くことにより、サブチャンバ502および503でできたチャンバ空間を利用することができる。チャック517が、下側サブチャンバ503内で底部内面付近に配置されている。チャック517は、エッチング処理および蒸着処理が実行される半導体ウエハ519を受けて保持するよう構成されている。チャック517は、ウエハの存在時にウエハ519を支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)が、チャック517を取り囲んでおり、ウエハがチャック517上に存在する時にウエハ519の上面とほぼ同一平面上にある上面を有する。チャック517は、ウエハ519をチャックおよびデチャックするための静電電極も備える。フィルタおよびDCクランプ電源(図示せず)が、そのために提供されてよい。ウエハ519をチャック517から持ち上げるための他の制御システムも準備されうる。チャック517は、RF電源523を用いて帯電されうる。RF電源523は、接続527を通して整合回路521に接続されている。整合回路521は、接続525を通してチャック517に接続されている。このように、RF電源523が、チャック517に接続されている。様々な実施形態において、静電チャックのバイアス電力が、開示されている実施形態に従って実行される処理に応じて、約50Vに設定されてもよいし、異なるバイアス電力に設定されてもよい。例えば、バイアス電力は、約20V~約100V、または、約30V~約150V、であってよい。
プラズマ生成のための要素には、窓511の上方に配置されているコイル533が含まれる。いくつかの実施形態においては、開示されている実施形態でコイルは利用されない。コイル533は、導電材料から製造され、少なくとも1つの完全な巻きを含む。図10に示すコイル533の例は、3回の巻き数を含む。コイル533の断面が記号で示されており、「X」のコイルは、紙面の表から裏に向かって回転して伸び、「●」のコイルは、紙面の裏から表に向かって回転して伸びている。プラズマ生成のための要素には、コイル533にRF電力を供給するように構成されたRF電源541も含まれる。一般に、RF電源541は、接続545を通して整合回路539に接続されている。整合回路539は、接続543を通してコイル533に接続されている。このように、RF電源541が、コイル533に接続されている。任意選択的なファラデーシールド549aが、コイル533と窓511との間に配置されている。ファラデーシールド549aは、コイル533に対して離間された関係に維持されてよい。いくつかの実施形態において、ファラデーシールド549aは、窓511の直上に配置されている。いくつかの実施形態において、ファラデーシールド549bが、窓511とチャック517との間にある。いくつかの実施形態において、ファラデーシールド549bは、コイル533に対して離間された関係に維持されている。例えば、ファラデーシールド549bは、ギャップなしに窓511の真下にあってよい。コイル533、ファラデーシールド549a、および、窓511は、各々、互いに実質的に水平になるように構成されている。ファラデーシールド549aは、金属またはその他の種が処理チャンバ524の窓511上に蒸着することを防ぎうる。
処理ガスが、上側サブチャンバ502内に配置されている1または複数の主要ガス流入口560を通して、ならびに/もしくは、1または複数のサイドガス流入口570を通して、処理チャンバ内に流されてよい。同じように、明示されていないが、同様のガス流入口が、容量結合プラズマ処理チャンバに処理ガスを供給するために用いられてよい。真空ポンプ(例えば、1または2段の機械的乾式ポンプおよび/またはターボ分子ポンプ)540が、処理チャンバ524から処理ガスを引き出すため、および、処理チャンバ524内の圧力を維持するために用いられてよい。例えば、真空ポンプは、ALDのパージ動作中に下側サブチャンバ503を排気するために用いられてよい。バルブ制御された導管が、真空ポンプを処理チャンバ524に流体接続して、真空ポンプによって提供される真空環境の印加を選択的に制御するために用いられてよい。これは、動作プラズマ処理中、スロットルバルブ(図示せず)または振り子バルブ(図示せず)などの閉ループ制御された流量制限装置を用いて行われてよい。同様に、真空ポンプ、および、容量結合プラズマ処理チャンバへのバルブ制御された流体接続が、用いられてもよい。
装置500の動作中、1または複数の処理ガスが、ガス流入口560および/または570を通して供給されてよい。特定の実施形態において、処理ガスは、主要ガス流入口560を通してのみ、または、サイドガス流入口570を通してのみ供給されてよい。いくつかの場合、図に示したガス流入口は、例えば、より複雑なガス流入口、1または複数のシャワーヘッドと置き換えられてもよい。ファラデーシールド549aおよび/または任意選択的なグリッド550は、処理チャンバ524への処理ガスの供給を可能にする内部チャネルおよび孔を備えてよい。ファラデーシールド549aおよび任意選択的なグリッド550の一方または両方が、処理ガスの供給のためのシャワーヘッドとして機能してよい。いくつかの実施形態において、液体反応物質または前駆体が気化されて、気化した反応物質または前駆体がガス流入口560および/または570を介して処理チャンバ524に導入されるように、液体気化/供給システムが、処理チャンバ524の上流に配置されてもよい。
高周波電力が、RF電源541からコイル533へ供給されることで、RF電流がコイル533を流れる。コイル533を流れるRF電流は、コイル533の周りに電磁場を生成する。電磁場は、上側サブチャンバ502内で誘導電流を発生させる。生成された様々なイオンおよびラジカルとウエハ519との物理的および化学的な相互作用が、ウエハ519のフィーチャをエッチングすると共にウエハ519上に層を選択的に蒸着する。
上側サブチャンバ502および下側サブチャンバ503の両方が存在するようにプラズマグリッド550が利用される場合、誘導電流は、上側サブチャンバ502に存在するガスに作用して、上側サブチャンバ502内で電子イオンプラズマを発生させる。任意選択的な内部プラズマグリッド550は、下側サブチャンバ503内のホットエレクトロンの量を制限する。いくつかの実施形態において、装置500は、下側サブチャンバ503に存在するプラズマがイオン-イオンプラズマになるように設計および動作される。
上側の電子-イオンプラズマおよび下側のイオン-イオンプラズマは両方とも、正イオンおよび負イオンを含むが、イオン-イオンプラズマの方が、正イオンに対する負イオンの比が大きい。揮発性のエッチング副生成物および/または蒸着副生成物が、ポート522を通して下側サブチャンバ503から除去されてよい。本明細書に開示されたチャック517は、約10℃~約250℃の範囲の高温で動作されてよい。温度は、処理動作および個々のレシピに依存する。
装置500は、クリーンルームまたは製造施設に設置される時に、設備(図示せず)に接続されてよい。設備は、処理ガス、真空、温度制御、および、環境粒子制御を提供する配管を備える。これらの設備は、対象となる製造施設に設置される時に、装置500に接続される。さらに、装置500は、典型的なオートメーションを用いてロボット技術により半導体ウエハを装置500の内外に移送することを可能にする移送チャンバに接続されてよい。
いくつかの実施形態において、システムコントローラ530(1または複数の物理または論理コントローラを含みうる)が、処理チャンバ524の動作の一部または全部を制御する。システムコントローラ530は、1または複数のメモリデバイスと、1または複数のプロセッサとを備えてよい。いくつかの実施形態において、装置500は、開示された実施形態が実行される時に流量および持続期間を制御するための切り替えシステムを備える。いくつかの実施形態において、装置500は、最長約500msまたは最長約750msまでの切り替え時間を有しうる。切り替え時間は、フローケミストリ、選択されたレシピ、リアクタアーキテクチャ、および、その他の要素に依存しうる。
いくつかの実施例において、システムコントローラ530は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、システムコントローラ530に統合されてよく、システムコントローラ530は、システムの様々な構成要素または副部品を制御しうる。システムコントローラは、処理パラメータおよび/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、システムコントローラ530は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中または除去中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
システムコントローラ530は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ530は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、システムコントローラ530は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置されている(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(PECVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、乾式現像チャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
EUVLパターニングは、例えば、オランダ、フェルトホーフェンのASML社製のTWINSCAN NXE:3300B(登録商標)プラットフォームなど、任意の適切なツール(しばしば、スキャナとも呼ばれる)を用いて実行されてよい。EUVLパターニングツールは、本明細書に記載の蒸着およびエッチングのために基板が出し入れされる独立型の装置であってよい。あるいは、以下に記載するように、EUVLパターニングツールは、大型の多構成要素ツール上のモジュールであってもよい。図11は、本明細書に記載の処理の実施に適した、真空移送モジュールと連結している真空統合された蒸着モジュール、EUVパターニングモジュール、および、乾式現像/エッチングモジュールを備えた半導体処理クラスタツールアーキテクチャ600を示す。処理はかかる真空統合型装置を利用せずに行われてもよいが、かかる装置は、いくつかの実施例において有利でありうる。
図11は、本明細書に記載の処理の実施に適した、真空移送モジュールと連結している真空統合された蒸着モジュールおよびパターニングモジュールを備えた半導体処理クラスタツールアーキテクチャを示す。複数の保管設備および処理モジュールの間でウエハを「移送する」移送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。蒸着モジュールおよびパターニングモジュールは、特定の処理の要件に従って、真空統合される。エッチング用など、その他のモジュールが、クラスタに備えられてもよい。
真空移送モジュール(VTM)638が、4つの処理モジュール620a~620dと接続しており、処理モジュールは、様々な加工処理を実行するために個別に最適化されてよい。例えば、処理モジュール620a~620dは、蒸着、蒸発、ELD、乾式現像、エッチング、剥離、および/または、その他の半導体処理を実行するために実装されてよい。例えば、モジュール620aは、カリフォルニア州フレモントのLam Research社製のVectorツールなど、本明細書に記載するように非プラズマ内で熱原子層蒸着を実行するよう動作可能なALDリアクタであってよい。そして、モジュール620bは、Lam Vector(登録商標)などのPECVDツールであってよい。図面は、必ずしも縮尺通りに描かれていないことを理解されたい。
エアロック642および646(ロードロックまたは移送モジュールとしても知られる)が、VTM638およびパターニングモジュール640と接続している。例えば、上述のように、適切なパターニングモジュールは、オランダ、フェルトホーヘンのASML社製のTWINSCAN NXE:3300B(登録商標)プラットフォームでありうる。このツールアーキテクチャは、ワークピース(半導体基板またはウエハなど)が、露光前に反応しないように真空下で移送されることを可能にする。蒸着モジュールとリソグラフィツールとの一体化は、周囲の気体(HO、Oなど)による入射光子の強力な光吸収を前提とすると、EUVLには非常に低い圧力も必要であるという事実によって促進される。
上述のように、この統合型アーキテクチャは、記載されている処理の実施のためのツールの1つの可能な実施形態にすぎない。処理は、より従来型の独立型EUVLスキャナと、独立型、または、例えば、統合されたパターニングモジュールがないことを除いて図11を参照して説明したように、エッチング、剥離などの他のツール(例えば、Lam KiyoまたはGammaツール)共にモジュールとしてクラスタアーキテクチャに統合されている蒸着リアクタ(Lam Vectorツールなど)とによって実施されてもよい。
エアロック642は、蒸着モジュール620aに供給するVTM638からパターニングモジュール640へ基板を取り出すことを参照して、「搬出」ロードロックであってよく、エアロック646は、パターニングモジュール640からVTM638へ基板を戻すことを参照して、「搬入」ロードロックであってよい。搬入ロードロック646は、基板のアクセスおよび搬出のためのツール外部とのインターフェースも提供しうる。各処理モジュールは、モジュールをVTM638に接続するファセットを有する。例えば、蒸着処理モジュール620aは、ファセット636を有する。各ファセット内で、ウエハ626がそれぞれのステーションの間で移動された時にウエハ626の通過を検出するために、センサ(例えば、図のセンサ1~18)が用いられる。パターニングモジュール640ならびにエアロック642および646は、同様に、図示していないさらなるファセットおよびセンサを備えてもよい。
主要なVTMロボット622が、エアロック642および646を含むモジュール間でウエハ626を移送する。一実施形態において、ロボット622は、1つのアームを有し、別の実施形態において、ロボット622は2つのアームを有し、各アームは、移送のためにウエハ(ウエハ626など)をつかむエンドエフェクタ624を有する。フロントエンドロボット644が、ウエハ626を搬出エアロック642からパターニングモジュール640へ、パターニングモジュール640から搬入エアロック646へ移送するために用いられる。フロントエンドロボット644は、基板のアクセスおよび搬出ために、搬入ロードロックとツールの外部との間でウエハ626を搬送してもよい。搬入エアロックモジュール646は、大気および真空の間の環境に合わせることができるので、ウエハ626は、損傷されることなしに2つの圧力環境の間を移動できる。
EUVLツールは、通例、蒸着ツールよりも高い真空で動作することに注意されたい。この場合、基板がパターニングツールに入る前に脱気することを可能にするために、蒸着ツールからEUVLツールへの搬送中に基板の真空環境を高めることが好ましい。搬出エアロック642は、パターニングツール640の光学系が基板からオフガスによって汚染されないように、或る期間にわたって移送対象のウエハをより低い圧力(パターニングモジュール640内の圧力以下)に保持し、すべてのオフガスを排出することによって、この機能を提供する。搬出用のオフガスエアロックに適した圧力は、1E-8Torr以下である。
いくつかの実施形態において、システムコントローラ650(1または複数の物理または論理コントローラを含みうる)が、クラスタツールおよび/またはその別個のモジュールの動作の一部または全部を制御する。コントローラは、クラスタ構造にローカルに配置されてもよいし、製造フロア内でクラスタ構造の外側すなわち離れた位置に配置され、ネットワークを介してクラスタ構造に接続されてもよいことに注意されたい。システムコントローラ650は、1または複数のメモリデバイスと、1または複数のプロセッサとを備えてよい。プロセッサは、中央処理装置(CPU)またはコンピュータと、アナログおよび/またはデジタル入力/出力接続と、ステッパモータコントローラボードと、その他の同様の構成要素とを備えてよい。適切な制御動作を実施するための命令が、プロセッサで実行される。これらの命令は、コントローラに関連付けられたメモリデバイスに格納されてもよいし、ネットワークを介して提供されてもよい。特定の実施形態において、システムコントローラは、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、ツールまたはモジュール動作の任意の態様の適用のタイミングおよび/または程度を制御するための命令を備えてよい。システム制御ソフトウェアは、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。いくつかの実施形態において、システム制御ソフトウェアは、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンシング命令を備える。例えば、半導体製造処理の各段階が、システムコントローラによる実行のための1または複数の命令を備えてよい。凝結、蒸着、蒸発、パターニング、および/または、エッチング段階のための処理条件を設定するための命令が、例えば、対応するレシピ段階に含まれてよい。
様々な実施形態において、ネガティブパターンマスクを形成するための装置が提供されている。装置は、パターニング、蒸着、および、エッチングのための処理チャンバと、ネガティブパターンマスクを形成するための命令を含むコントローラと、を備えてでよい。命令は、処理チャンバ内で、基板の表面を露光させるためにEUV露出によって半導体基板上の化学増幅(CAR)レジスト内にフィーチャをパターニングし、フォトパターニングされたレジストを乾式現像し、パターニングされたレジストをマスクとして用いて下層または下層スタックをエッチングするためのコードを含んでよい。
ウエハの移動を制御するコンピュータは、クラスタアーキテクチャにローカルに配置されてもよいし、製造フロア内でクラスタアーキテクチャの外側すなわち離れた位置に配置され、ネットワークを介してクラスタアーキテクチャに接続されてもよいことに注意されたい。図8、図9、または、図10のいずれかに関して上述したようなコントローラが、図11のツールと共に実装されてよい。
図12は、(例えば、イメージング層および/または下層などの蒸着のための)蒸着チャンバの一例を示す。図からわかるように、装置700は、蓋708およびウエハ移送通路704を有する処理チャンバ702を備えており、ウエハ移送通路704は、基板722がそこを通過してウエハ支持体724上に配置されることを可能にするようなサイズである。ウエハ移送通路704は、ウエハ移送通路を開閉するよう動作可能なゲートバルブ706または同様のドアメカニズムを有していてよい。例えば、処理チャンバ702は、隣接する移送チャンバに配置されているウエハハンドリングロボットを介して基板722を提供されてよい。
ウエハ支持体724は、基板722にウエハ支持面を提供するためにESC726を備えてよい。ESC726は、上部プレート728の上面に接着されているベースプレート734を備えてよい。図の例において、上部プレート728は、その中に埋め込まれた2つの別個の電気システムを有する。一方のかかるシステムは、基板722が上部プレート728のウエハ支持面に対して引き寄せられるようにする電荷を基板722内に生成するために、1または複数のクランプ電極732を有する静電クランプ電極システムである。
他方のシステムは、処理条件下で基板722の温度を制御するための熱制御システムである。図12において、熱制御システムは、クランプ電極732の下に配置されている4つの環状抵抗ヒータトレース730a、730b、730c、および、730dを特徴とする。各抵抗ヒータトレース730a/b/c/dは、例えば、一部の例において、±0.5℃の温度均一性を有するように基板722を維持するために、上部プレート728において様々な半径方向加熱プロファイルを提供するように個別に制御されてよい。他の実施例は、シングルゾーン加熱システム、または、4つのゾーンより多いかまたは少ないゾーンを有するマルチゾーン加熱システムを用いてもよい。例えば、上述した温度制御メカニズムのいくつかの実施例において、ヒートポンプまたはペルチェ接合が、抵抗加熱トレースの代わりに用いられてもよい。
ESC726は、さらに、上部プレート728の下側に構造支持を提供し、散熱システムとしても機能しうるベースプレート734を備えてよい。例えば、ベースプレート734は、1または複数の熱交換路736を備えてよく、熱交換媒体(例えば、水または不活性フッ素化液)が、利用中に熱交換路736を通して循環されてよい。
ESC726は、ウエハ支柱744と接続されて支持されているウエハ支持ハウジング742によって支持されてよい。ウエハ支柱744は、ベースプレート734および/または上部プレート728の下側に、(例えば、電力を供給するための)配線、(例えば、熱交換媒体を運ぶための)流体流導管、および、その他の設備をルーティングするためのルーティング通路748その他のパススルーを有してよい。
図12の装置700は、さらに、ウエハ支柱744に移動可能な支持を提供しうるウエハ支持zアクチュエータ746を備える。ウエハ支持zアクチュエータ746は、ウエハ支柱744およびそれによって支持されているウエハ支持体724を、処理チャンバ702の反応空間720内で、例えば、最大数インチだけ、垂直方向に上下動させるように作動されてよい。そうすることで、基板722とシャワーヘッド71の下側との間のギャップ距離Xが、様々な処理条件に応じて調整されてよい。
ウエハ支持体724は、さらに、様々な処理条件を制御および/または微調整するために利用されうる1または複数のエッジリングを備えてよい。図12において、下側エッジリング740aおよび740bの上部にある上側エッジリング738が提供されており、下側エッジリング740aおよび740bは、ウエハ支持ハウジング742および第3下側エッジリング740cによって支持されている。
装置700は、さらに、処理中および処理完了後に、処理チャンバ702から処理ガスを除去するためのシステムを含んでよい。例えば、処理チャンバ702は、ウエハ支柱744を取り囲む環状プレナム756を備えてよい。そして、環状プレナム756は、真空ポンプと接続されうる真空フォアライン752と流体接続していてよい。調整バルブ754が、真空フォアライン752とび処理チャンバ702との間に提供され、真空フォアライン752への流れを制御するよう作動されてよい。いくつかの実施例において、バッフル750(例えば、ウエハ支柱744の周囲に均等に分散された流れを環状プレナム756へ送るよう機能しうる環状プレートまたはその他の構造)が、基板722にわたって流された反応物質で流れの不均一性が生じる可能性を低減するために提供されてよい。
シャワーヘッド710は、図に示すように、デュアルプレナムシャワーヘッド710であり、第1流入口716を介して処理ガスを供給される第1プレナム712と、第2流入口718を介して処理ガスを供給される第2プレナム714と、を備える。2以上のプレナムが、前駆体とおよび対反応物の放出の前に、前駆体と対反応物との間の分離を維持するために用いられてよい。いくつかの例では、単一のプレナムが、処理チャンバ702の反応空間720内へ前駆体を供給するために用いられる。各プレナムは、シャワーヘッド710のフェースプレートを通してそれぞれのプレナムを反応空間720に流体接続している対応するセットのガス分配ポートを有してよい(フェースプレートは、最下のプレナムと反応空間720との間に挟まれているシャワーヘッド710の部分である)。
シャワーヘッド710の第1流入口716および第2流入口718は、ガス供給システムを介して処理ガスを供給されてよく、ガス供給システムは、本明細書で議論されているように、1または複数の前駆体および/または対反応物を供給するよう構成されていてよい。第1バルブマニホルド768aは、1または複数の前駆体を第1流入口716に供給するよう構成されいてよく、一方、第2バルブマニホルド768bは、他の前駆体または他の反応物を第2流入口718に供給供するよう構成されていてよい。この例において、第1バルブマニホルド768aは、例えば、複数のバルブA1~A5を備える。バルブA2は、例えば、第1気化器772aと流体接続されている1つのポートと、バイパスライン770aと流体接続されている別のポートと、別の三方弁A3のポートと流体接続されている第3ポートとを有する三方弁であってよい。同様に、バルブA4は、例えば、第2気化器772bと流体接続されている1つのポートと、バイパスライン770aと流体接続されている別のポートと、別の三方弁A5のポートと流体接続されている第3ポートとを有する三方弁であってよい。バルブA5の他のポートの内の一方は、第1流入口716と流体接続されていてよく、一方、バルブA5の残りのポートは、バルブA3の残りのポートの内の一方と流体接続されていてよい。そして、バルブA3の残りのポートは、バルブA3とパージガス源774(例えば、窒素、アルゴン、または、(前駆体および/または対反応物に対して)適切な不活性を有するその他のガス)との間に流体的に挿入されうるバルブA1と流体接続されていてよい。いくつかの実施形態では、第1バルブマニホルドのみが用いられる。
本開示では、用語「流体接続されている」は、流体的な接続を形成するために互いに接続されうる空間、プレナム、穴などに関して用いられており、これは、電気的な接続を形成するために互いに接続される構成要素に関して用語「電気接続」が用いられるのと同様である。用語「流体的に挿入されている」は、少なくとも2つの他の構成要素、空間、プレナム、または、穴と流体接続されている構成要素、空間、プレナム、または、穴に関して、それらの他の構成要素、空間、プレナム、または、穴の内の1つから、それらの他の構成要素、空間、プレナム、または、穴の内の他方または別の1つへ流れる流体が、それらの構成要素、空間、プレナム、または、穴の他方または別の1つに到達する前に、「流体的に挿入されている」構成要素を先に通過するようになっていることに言及するために用いられる。例えば、リザーバと流出口との間にポンプが流体的に挿入されている場合、リザーバから流出口に流される流体は、流出口に到達する前にポンプを先に通過することになる。
第1バルブマニホルド768aは、例えば、バルブA1~A5の作動の制御などによって、気化器772aおよび772bの一方または両方からの蒸気を、処理チャンバ702へ、または、第1バイパスライン770aを通して真空フォアライン752へ、流させるように制御可能であってよい。第1バルブマニホルド768aは、さらに、パージガス源774から第1流入口716へパージガスを流させるように制御可能であってよい。
第2バルブマニホルド768bは、気化器772cおよび772dから第2流入口718または第2バイパスライン770bへ蒸気を供給するために、例えば、バルブB1~B5を制御することによって、同様に制御されてよいことが理解される。さらに、第1流入口716および第2流入口718への前駆体、対反応物、または、その他の反応物の流れを制御するためのバルブを備えた単一の一体型マニホルドなど、異なるマニホルドの構成が利用されてもよいことが理解される。
先述したように、一部の装置700は、より少数の蒸気源(例えば、2つのだけの気化器772)を特徴としてもよく、その場合、バルブマニホルド768は、より少数のバルブ(例えば、バルブA1~A3のみ)を有するように変形されてよい。
上述のように、装置700などの装置は、膜の乾式蒸着を提供するために用いられてよく、処理チャンバ702内で特定の温度プロファイルを維持するよう構成されていてよい。特に、かかる装置700は、前駆体および/または対反応物と直接的に接触する装置702の設備のほとんどよりも低い温度(例えば、少なくとも25℃~50℃低い温度)に基板722を維持するよう構成されていてよい。
温度制御を提供するために、様々な加熱システムが、装置700に備えられてよい。例えば、処理チャンバ702は、カートリッジヒータ758を受け入れるためのレセプタクルを有してよく、例えば、カートリッジヒータ758を受け入れるための垂直の穴が、チャンバ702のハウジングの4つ角に開けられていてよい。いくつかの実施例において、シャワーヘッド710は、ヒータブランケット760で覆われていてよく、ヒータブランケット760は、シャワーヘッドの温度を高く維持するために、シャワーヘッド710の露出した上面に熱を加えるために用いられてよい。気化器722からシャワーヘッド710へ蒸発した反応物質を導くために用いられる様々なガスラインを加熱することも有益でありうる。例えば、抵抗ヒータテープが、かかるガスラインの周囲に巻かれ、ガスラインを高い温度に加熱するために用いられてよい。図12におけるガスラインおよびフェイトバルブ706のいずれも、能動的にブにまたは間接的に加熱されてよい。
装置700の様々な動作システムは、コントローラ784によって制御されてよく、コントローラ784は、1または複数のプロセッサ786ならびに1または複数のメモリデバイス788を備えてよく、プロセッサおよびメモリデバイスは、互いに動作可能に接続されており、装置700の様々なシステムおよびサブシステムと通信可能に接続されて、それらのシステムのための制御機能を提供する。例えば、コントローラ784は、バルブA1~A5およびB1~B5、様々なヒータ758、760、気化器772、調整バルブ754、ゲートバルブ706、ウエハ支持zアクチュエータなどを制御するよう構成されていてよい。
装置700が含みうる別の特徴が、図13に示されており、図13は、図12の基板722、上部プレート728、および、上側エッジリング738の一部を示す拡大断平面図である。図からわかるように、いくつかの実施例において、基板722は、複数の小さいメサ776によって上部プレート728のほとんどから離れて持ち上げられていてよく、メサ776は、基板722の下側と上部プレート728の大部分との間に背面ギャップ778を提供するように、上部プレート728の公称上面から短い距離だけ突出している薄いボスであってよい。周縁壁フィーチャ777が、上部プレート728の周囲に提供されていてよい。周縁壁フィーチャ777は、上部プレート728の外周全体に伸び、メサ776と公称上同じ高さであってよい。処理動作中、一般的に不活性なガス(ヘリウムなど)が、1または複数のガスポート782を介して背面ギャップ778内に流されてよい。次いで、このガスは、周縁壁フィーチャ777に当たるまで半径方向外向きに流れてよく、次いで、周縁壁フィーチャ777は、かかる半径方向外向きの流れを制限して、ガスのより高圧の領域が基板722と上部プレート728との間に捕捉されるようにしうる。周縁壁777を越えてリークした不活性ガスは、最終的に、基板722の外周エッジと上側エッジリング738の一部との間の半径方向ギャップ780を通して流出しうる。かかるガスは、シャワーヘッド710によって放出されたガスが基板722の下側に到達するのを防ぐように作用することによって、実行されている処理動作によって望ましくない影響を受けることから、基板の下側を保護するのに役立ちうる。同時に、背面ギャップ778の領域に放出されたガスは、さらに、基板722と上部プレート728との間の熱的結合を増大させるように作用することにより、上部プレート728が基板722をより効果的に加熱または冷却することを可能にしうる。周縁壁によって提供されるより高い圧力によって、背面ギャップ778の領域内にあるガスも、チャンバの残り部分のガスよりも高い密度でありうるため、基板722と上部プレート728との間により効果的な熱結合を提供しうる。
コントローラ784は、例えば、コンピュータ実行可能な命令の実行により、上記の開示と一致する様々な動作を装置700に実行させるように構成されていてよい。
イメージング層および/または下層が基板722上に蒸着されると、基板722は、上述のように、さらなる動作(例えば、本明細書に記載されている任意の動作)に向けて、1または複数の後続の処理チャンバまたはツールに移送されてよい。さらなる蒸着装置が、2020年6月22日出願の国際特許出願第PCT/US2020/038968号「APPARATUS FOR PHOTORESIST DRY DEPOSITION」に記載されており、その出願は、その全体が参照によって本明細書に組み込まれる。
定義
本明細書で交換可能に用いられている「アシルオキシ」または「アルカノイルオキシ」は、オキシ基を介して親分子基に結合した本明細書で定義のアシル基またはアルカノイル基を意味する。特定の実施形態において、アルカノイルオキシは、-O-C(O)-Akであり、ここで、Akは、本明細書で定義のアルキル基である。いくつかの実施形態において、非置換アルカノイルオキシは、C2-7アルカノイル基である。アルカノイル基の例は、アセトキシを含む。
「脂肪族」は、少なくとも1個の炭素原子から50個の炭素原子(C1-50)(例えば、1~25個の炭素原子(C1-25)、または、1~10個の炭素原子(C1-10)など)を有する炭化水素基を意味し、アルカン(またはアルキル)、アルケン(またはアルケニル)、アルキン(またはアルキニル)と、それらの環式型とを含み、さらに、直鎖および分岐鎖配列と、すべての立体異性体および位置異性体とを含む。かかる脂肪族は、非置換であってもよいし、アルキル基について本明細書に記載されている基など、1または複数の基で置換されていてもよい。
「アルケニル」は、1または複数の二重結合を有する任意選択的に置換されたC2-24アルキル基を意味する。アルケニル基は、環式(例えば、C3-24シクロアルケニル)または非環式でありうる。また、アルケニル基は、置換または非置換でありうる。例えば、アルケニル基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「アルケニレン」は、1または複数の二重結合を有する任意選択的に置換されたC2-24アルキル基であるアルケニル基の多価(例えば、二価)形態を意味する。アルケニレン基は、環式(例えば、C3-24シクロアルケニル)または非環式でありうる。アルケニレン基は、置換または非置換でありうる。例えば、アルケニレン基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。非限定的なアルケニレン基の例は、-CH=CH-または-CH=CHCH2-を含む。
「アルコキシ」は、-ORを意味し、ここで、Rは、本明細書に記載のように、任意選択的に置換されたアルキル基である。アルコキシの例は、メトキシ、エトキシ、ブトキシ、トリハロアルコキシ(トリフルオロメトキシなど)などを含む。アルコキシ基は、置換または非置換でありうる。例えば、アルコキシ基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。非置換アルコキシ基の例は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、または、C1-24アルコキシ基を含む。
「アルキル」および接頭辞「alk」は、1~24個の炭素原子の分岐状または非分岐状の飽和炭化水素基を意味し、例えば、メチル(Me)、エチル(Et)、n-プロピル(n-Pr)、イソプロピル(i-Pr)、シクロプロピル、n-ブチル(n-Bu)、イソブチル(i-Bu)、s-ブチル(s-Bu)、t-ブチル(t-Bu)、シクロブチル、n-ペンチル、イソペンチル、s-ペンチル、ネオペンチル、ヘキシル、ヘプチル、オクチル、ノニル、デシル、ドデシル、テトラデシル、ヘキサデシル、エイコシル、テトラコシル、などである。アルキル基は、環式(例えば、C3-24シクロアルキル)または非環式でありうる。アルキル基は、分岐または非分岐でありうる。また、アルキル基は、置換または非置換でありうる。例えば、アルキル基は、本明細書に記載のように、アルキル基が1または複数のハロ基によって置換されているハロアルキルを含みうる。別の例においては、アルキル基は、以下の群より独立的に選択された1、2、3、または、4(2以上の炭素のアルキル基の場合)の置換基で置換されうる。(1)C1-6アルコキシ(例えば、-O-Ak、ここで、Akは任意に置換されたC1-6アルキル)、(2)アミノ(例えば、NRN1N2、ここで、RN1およびRN2の各々は、独立していて、Hまたは任意選択的に置換されたアルキルであり、もしくは、RN1およびRN2は、各々が結合している窒素原子と共に、ヘテロシクリル基を形成する)、(3)アリ-ル、(4)アリールアルコキシ(例えば、-O-Lk-Ar、ここで、Lkは、任意選択的に置換されたアルキルの二価形態であり、Arは、任意選択的に置換されたアリールである)、(5)アリーロイル(例えば、-C(O)-Ar、ここで、Arは、任意選択的に置換されたアリールである)、(6)シアノ(例えば、-CN)、(7)カルボキシアルデヒド(例えば、-C(O)H)、(8)カルボキシル(例えば、-COH)、(9)C3-8シクロアルキル(例えば、一価の飽和または不飽和の非芳香族環式C3-8炭化水素基)、(10)ハロ(例えば、F、Cl、Br、または、I)、(11)ヘテロシクリル(例えば、特に明記しない限りは、1、2、3、または、4個の非炭素ヘテロ原子(窒素、酸素、リン、硫黄、または、ハロなど)を含む、五、六、または、七員環)、(12)ヘテロシクリルオキシ(例えば、-O-Het、ここで、Hetは、本明細書に記載されるようにヘテロシクリルである)、(13)ヘテロシクリロイル(例えば、-C(O)-Het、ここで、Hetは、本明細書に記載されるようにヘテロシクリルである)、(14)ヒドロキシル(例えば、-OH)、(15)N-保護アミノ、(16)ニトロ(例えば、NO)、(17)オキソ(例えば、=O)、(18)-CO、ここで、Rは、(a)C1-6アルキル、(b)C4-18アリール、および、(c)(C4-18アリール)C1-6アルキル、からなる群より選択される(例えば、-Lk-Ar、ここで、Lkは、任意選択的に置換されたアルキル基の二価形態であり、Arは、任意選択的に置換されたアリールである)、(19)-C(O)NR、ここで、RおよびRの各々は、独立していて、(a)水素、(b)C1-6アルキル、(c)C4-18アリール、および、(d)(C4-18アリール)C1-6アルキルからなる群より選択される(例えば-Lk-Ar、ここで、Lkは、任意選択的に置換されたアルキル基の二価形態であり、Arは、任意選択的に置換されたアリールである)、ならびに、(20)-NR、ここで、RおよびRの各々は、独立していて、(a)水素、(b)N-保護基、(c)C1-6アルキル、(d)C2-6アルケニル(例えば、1または複数の二重結合を有する任意選択的に置換されたアルキル)、(e)C2-6アルキニル(例えば、1または複数の三重結合を有する任意選択的に置換されたアルキル)、(f)C4-18アリール、(g)(C4-18アリール)C1-6アルキル(例えば、Lk-Ar、ここで、Lkは、任意選択的に置換されたアルキル基の二価形態であり、Arは、任意選択的に置換されたアリールである)、(h)C3-8シクロアルキル、および、(i)(C3-8シクロアルキル)C1-6アルキル(例えば、-Lk-Cy、ここで、Lkは、任意選択的に置換されたアルキル基の二価形態であり、Cyは、本明細書に記載の任意選択的に置換されたシクロアルキルである)、からなる群より選択され、一実施形態において、カルボニル基を介して窒素原子に2つの基が結合されていることがない。アルキル基は、1または複数の置換基(例えば、1または複数のハロまたはアルコキシ)で置換された第1級、第2級、または第3級アルキル基でありうる。いくつかの実施形態において、非置換アルキル基は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、または、C1-24アルキル基である。
「アルキレン」は、本明細書に記載のアルキル基の多価(例えば、二価)形態を意味する。アルキレン基の例は、メチレン、エチレン、プロピレン、ブチレン、などを含む。いくつかの実施形態において、アルキレン基は、C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、C1-24、C2-3、C2-6、C2-12、C2-16、C2-18、C2-20、または、C2-24アルキレン基である。アルキレン基は、分岐または非分岐でありうる。また、アルキレン基は、置換または非置換でありうる。例えば、アルキレン基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「アルキニル」は、1または複数の三重結合を有する任意選択的に置換されたC2-24アルキル基を意味する。アルキニル基は、環式または非環式であってよく、エチニル、1プロピニルなどを例とする。また、アルキニル基は、置換または非置換でありうる。例えば、アルキニル基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「アルキニレン」は、1または複数の三重結合を有する任意選択的に置換されたC2-24アルキル基であるアルキニル基の多価(例えば、二価)形態を意味する。アルキニレン基は、環式または非環式でありうる。アルキニレン基は、置換または非置換でありうる。例えば、アルキニレン基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。非限定的なアルケニレン基の例は、-C≡C-または-C≡CCH-を含む。
「アミノ」は、-NRN1N2を意味し、ここでRN1およびRN2の各々は、独立していて、H、任意選択的に置換されたアルキル、または、任意選択的に置換されたアリールであり、もしくは、RN1およびRN2は、各々が結合している窒素原子と共に、本明細書で定義のヘテロシクリル基を形成する。
「芳香族」は、特に明記しない限り、単一の環(例えば、フェニル)、または、少なくとも1つの環が芳香族である複数の縮合環(例えば、ナフチル、インドリル、ピラゾロピリジニル)を有する5~15個の環原子の環式の共役基または部位を意味しており、すなわち、少なくとも1つの環および任意選択的に複数の縮合環が、連続的な非局在化π電子系を有する。典型的には、面外π電子の数は、ヒュッケル則(4n+2)に対応する。親構造への付着点は、典型的には、縮合環系の芳香族部分を通る。かかる芳香族は、非置換であってもよいし、アルキルまたはアリール基について本明細書に記載されている基など、1または複数の基で置換されていてもよい。さらに他の置換基は、脂肪族、ハロ脂肪族、ハロ、ニトレート、シアノ、スルホネート、スルホニル、などを含みうる。
「アリール」は、フェニル、ベンジル、アントラセニル、アントリル、ベンゾシクロブテニル、ベンゾシクロオクテニル、ビフェニリル、クリセニル、ジヒドロインデニル、フルオランテニル、インダセニル、インデニル、ナフチル、フェナントリル、フェノキシベンジル、ピセニル、ピレニル、テルフェニルなどを含むがこれらに限定されない、任意の炭素系芳香族基を含む基を意味しており、インダニル、テトラヒドロナフチル、フルオレニルなど、(例えば、本明細書で定義されている)縮合ベンゾ-C4-8シクロアルキルラジカルを含む。アリールという用語は、ヘテロアリールも含み、ヘテロアリールは、芳香族基の環内に組み込まれた少なくとも1つのヘテロ原子を有する芳香族基を含む基として定義される。ヘテロ原子の例は、窒素、酸素、硫黄、および、リンを含むが、これらに限定されない。同様に、非ヘテロアリールという用語(これも、アリールという用語に含まれる)は、ヘテロ原子を含まない芳香族基を含む基を定義する。アリール基は、置換または非置換でありうる。アリール基は、アルキルについて本明細書で記載した任意の置換基など、1、2、3、4、または、5個の置換基で置換されうる。
「アリレン」は、本明細書に記載のアリール基の多価(例えば、二価)形態を意味する。アリレン基の例は、フェニレン、ナフチレン、ビフェニレン、トリフェニレン、ジフェニルエーテル、アセナフテニレン、アンスリレン、または、フェナンスリレンを含む。いくつかの実施形態において、アリレン基は、C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、または、C6-10アリレン基である。アリレン基は、分岐または非分岐でありうる。また、アリレン基は、置換または非置換でありうる。例えば、アリレン基は、アルキルまたはアリールについて本明細書で記載した1または複数の置換基で置換されてよい。
「アリールアルキレン”(aryl)(alkyl)ene”」は、本明細書に記載のアルキレンまたはヘテロアルキレン基に付着した本明細書に記載のアリレン基を含む二価体を意味する。いくつかの実施形態において、アリールアルキレン基は、-L-Ar-または-L-Ar-L-または-Ar-L-であり、ここで、Arは、アリーレン基であり、各Lは、独立していて、任意選択的に置換されたアルキレン基または任意選択的に置換されたヘテロアルキレン基である。
「カルボニル」は、-C(O)-基を意味し、>C=O、または、-CO基としても表現されうる。
「カルボキシル」は、-COH基を意味する。
「カルボキシアルキル」は、本明細書で定義の1または複数のカルボキシル基で置換された本明細書で定義のアルキル基を意味する。
「カルボキシアリール」は、本明細書で定義の1または複数のカルボキシル基で置換された本明細書で定義のアリール基を意味する。
「環状無水物」は、特に明記しない限り、環内に-C(O)-O-C(O)-基を有する三、四、五、六、または、七員環(例えば、五、六、または、七員環)を意味する。また、「環状無水物」という用語は、上記の環のいずれかが、アリール環、シクロヘキサン環、シクロヘキセン環、シクロペンタン環、シクロペンテン環、および、別の単環式複素環からなる群より独立的に選択された1、2、または、3個の環に縮合している二環式、三環式、および、四環式の基も含む。環状無水物基の例は、1または複数の水素を除去することにより、無水コハク酸、無水グルタル酸、無水マレイン酸、無水フタル酸、イソクロマン-1,3-ジオン、オキセパンジオン、テトラヒドロフタル酸無水物、ヘキサヒドロフタル酸無水物、ピロメリット酸二無水物、ナフタル酸無水物、1,2-シクロヘキサンジカルボン酸無水物、などから形成されるラジカルを含む。その他の環状無水物基の例は、ジオキソテトラヒドロフラニル、ジオキソジヒドロイソベンゾフラニル、などを含む。また、環状無水物基は、置換または非置換でありうる。例えば、環状無水物基は、ヘテロシクリルについて本明細書で記載したものを含む1または複数の基で置換されてよい。
「シクロアルケニル」は、特に明記しない限りは、1または複数の二重結合を有する3~8炭素の一価不飽和非芳香族または芳香族の環式状炭化水素基を意味する。また、シクロアルケニル基は、置換または非置換でありうる。例えば、シクロアルケニル基は、アルキルについて本明細書で記載したものを含む1または複数の置換基で置換されてよい。
「シクロアルキル」は、特に明記しない限りは、3~8炭素の一価飽和または不飽和非芳香族または芳香族の環式炭化水素基を意味し、シクロプロピル、シクロブチル、シクロペンチル、シクロペンタジエニル、シクロヘキシル、シクロヘプチル、ビシクロ[2.2.1.]ヘプチル、などを例とする。また、シクロアルキル基は、置換または非置換でありうる。例えば、シクロアルキル基は、アルキルについて本明細書で記載したものを含む1または複数の置換基で置換されてよい。
「ハロ」は、F、Cl、Br、または、Iを意味する。
「ハロアルキル」は、1または複数のハロで置換された本明細書で定義のたアルキル基を意味する。
「ヘテロアルキル」は、1、2、3、または、4個の非炭素ヘテロ原子(例えば、窒素、酸素、リン、硫黄、セレン、または、ハロからなる群より独立して選択された原子)を含む本明細書で定義のアルキル基を意味する。
「ヘテロアルキレン」は、1、2、3、または、4個の非炭素ヘテロ原子(例えば、窒素、酸素、リン、硫黄、セレン、または、ハロからなる群より独立して選択された原子)を含む本明細書で定義のアルキレン基の二価形態を意味する。ヘテロアルキレン基は、置換または非置換でありうる。例えば、ヘテロアルキレン基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「ヘテロシクリル」は、特に明記しない限り、1、2、3、または、4個の非炭素ヘテロ原子(例えば、窒素、酸素、リン、硫黄、セレン、または、ハロからなる群より独立して選択された原子)を含む三、四、五、六、または、七員環(例えば、五、六、または、七員環)を意味する。三員環は、0~1つの二重結合を有し、四員環および五員環は、0~2つの二重結合を有し、六員環および七員環は、0~3つの二重結合を有する。また、「ヘテロシクリル」という用語は、上記の複素環のいずれかが、アリール環、シクロヘキサン環、シクロヘキセン環、シクロペンタン環、シクロペンテン環、および、別の単環式複素環(インドリル、キノリル、イソキノリル、テトラヒドロキノリル、ベンゾフリル、ベンゾチエニルなど)からなる群より独立的に選択された1、2、または、3個の環に縮合している二環式、三環式、および、四環式の基も含む。ヘテロシクリル基は、置換または非置換でありうる。例えば、ヘテロシクリル基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「ヒドロカルビル」は、炭化水素から水素原子を除去することによって形成された一価基を意味する。非限定的な非置換ヒドロカルビル基は、本明細書で定義のアルキル、アルケニル、アルキニル、および、アリールを含み、ここで、これらの基は、炭素および水素原子のみを含む。ヒドロカルビル基は、置換または非置換でありうる。例えば、ヒドロカルビル基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。他の実施形態において、本明細書の任意のアルキル基またはアリール基が、本明細書で定義のヒドロカルビル基で置換されてもよい。
「ヒドロキシル」は、-OHを意味する。
「ヒドロキシアルキル」は、アルキル基の1つの炭素原子に1つだけのヒドロキシル基が付着されうる条件で、1~3個のヒドロキシル基で置換された本明細書で定義のアルキル基を意味し、ヒドロキシメチル、ジヒドロキシプロピルなどを例とする。
「ヒドロキシアリール」は、アリール基の1つの炭素原子に1つだけのヒドロキシル基が付着されうる条件で、1~3個のヒドロキシル基で置換された本明細書で定義のアリール基を意味し、ヒドロキシフェニル、ジヒドロキシフェニルなどを例とする。
「イソシアネート」は、-NCOを意味する。
「オキシド」は、-O-基を意味する。
「オキソ」は、=O基を意味する。
「ホスフィン」は、ヒドロカルビル部分を有する三価リンまたは四価リンを意味する。いくつかの実施形態において、ホスフィンは、-PR 基であり、ここで、各Pは、独立していて、H、任意選択的に置換されたアルキル、または、任意選択的に置換されたアリールである。ホスフィン基は、置換または非置換でありうる。例えば、ホスフィン基は、アルキルについて本明細書で記載した1または複数の置換基で置換されてよい。
「セレノール」は、-SeH基を意味する。
「テルロール」とは、-TeH基を意味する。
「チオイソシアナート」は、-NCSを意味する。
「チオール」は、-SH基を意味する。
本明細書で用いられているように、「上部」、「底部」、「上側」、「下側」、「上方」、および、「下方」という用語は、構造間の相対的な関係性を示すために用いられる。これらの用語の利用は、特定の構造が装置の特定の位置に配置されなければならないことを示すことも求めることもない。
結び
基板(例えば、ハードマスク)とフォトレジストとの間の接着性を高め、および/または、EUVリソグラフィ中に効果的なフォトレジスト露光のためのEUV線量を低減するよう構成されているフォトレジスト下層を組み込むためのパターニング構造およびスキーム、ならびに、関連する処理および装置が、開示および説明されている。
本明細書に記載の例および実施形態は、単に例示を目的としたものであり、それらに照らして、様々な変形例または変更例が当業者に想到されることが理解される。様々な詳細事項が簡単のために省略されているが、様々な設計の変更が実施されてよい。したがって、上述した例は、例示的なものであって、限定的なものではないとみなされ、本開示は、本明細書に示した詳細に限定されず、本開示の範囲内で変形されてよい。
以下のサンプル請求項は、本開示の特定の実施形態のさらなる説明のために提供されている。本開示は、これらの実施形態に必ずしも限定されない。

Claims (33)

  1. パターニング構造であって、
    基板の上に配置されている放射線感受性のイメージング層と、
    前記基板と前記イメージング層との間に配置されている下層と、
    を備え、
    前記下層は、
    前記基板と前記イメージング層との間の接着性を高め、
    前記イメージング層の効果的なフォトレジスト露光のための放射線量を低減するよう構成されている、パターニング構造。
  2. 請求項1に記載のパターニング構造であって、前記イメージング層は、極紫外線(EUV)感受性の無機フォトレジスト層、化学蒸着(CVD)膜、スピンオン膜、または、酸化スズ膜を含む、パターニング構造。
  3. 請求項1に記載のパターニング構造であって、前記基板は、ハードマスク、非晶質炭素膜、ホウ素(B)でドープされた非晶質炭素膜、タングステン(W)でドープされた非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、であり、または、を含む、パターニング構造。
  4. 請求項1から3のいずれか一項に記載のパターニング構造であって、前記下層は、25nm以下の厚さまたは約2~20nmの厚さを有する、パターニング構造。
  5. 請求項1または4に記載のパターニング構造であって、前記下層は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素を含み、任意選択的に、前記ヨウ素でドープされた水素化炭素は、放射線への露光時に二次電子の発生を改善するよう構成される、パターニング構造。
  6. 請求項5に記載のパターニング構造であって、前記下層は、約0~30原子%の酸素(O)および/または約20~50原子%の水素(H)を含み、ならびに/もしくは、前記下層の表面は、ヒドロキシル基、カルボキシル基、ペルオキシ基、sp炭素、sp炭素、および/または、不飽和炭素含有結合、を含む、パターニング構造。
  7. 請求項1から6に記載のパターニング構造であって、前記下層は、約0.7~2.9g/cmの密度を備え、任意選択的に、前記下層は、さらに、増大したエッチング選択比を提供し、任意選択的に、前記下層は、さらに、減少したラインエッジラフネスおよびライン幅ラフネスならびに/もしくは減少した線量対サイズを提供する、パターニング構造。
  8. 請求項1から7に記載のパターニング構造であって、前記下層は、さらに、放射線への露光時に放出されるよう構成されているベータ水素原子、および/または、前記イメージング層内の原子への酸素結合を形成するよう構成されている酸素原子を備える、パターニング構造。
  9. パターニング構造であって、
    製造途中の半導体デバイス膜スタックを備える基板と、
    前記基板の上に配置されている放射線感受性のイメージング層と、
    前記基板と前記イメージング層との間に配置されている下層と、
    を備え、
    前記下層は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素の蒸着膜を備え、
    前記膜は、25nm以下の厚さまたは約2~20nmの厚さを有する、パターニング構造。
  10. 請求項9に記載のパターニング構造であって、前記基板は、さらに、前記基板上に配置されている任意選択的にドープされた非晶質炭素ハードマスクを備える、パターニング構造。
  11. 請求項10に記載のパターニング構造であって、前記下層は、約0~30原子%の酸素(O)および/または約20~50原子%の水素(H)を含む、パターニング構造。
  12. パターニング構造を形成する方法であって、
    基板を準備し、
    前記基板上に下層を蒸着させ、前記下層は、前記基板と前記フォトレジストとの間の接着性を高め、および/または、効果的なフォトレジスト露光のための放射線量を低減するよう構成され、
    前記下層上に放射線感受性のイメージング層を形成すること、
    を備える、方法。
  13. 請求項12に記載の方法であって、
    前記基板は、製造途中の半導体デバイス膜スタックであり、
    前記基板は、ハードマスク、非晶質炭素膜、非晶質水素化炭素膜、酸化シリコン膜、窒化シリコン膜、酸窒化シリコン膜、炭化シリコン膜、ホウ窒化シリコン膜、非晶質シリコン膜、ポリシリコン膜、または、それらの組み合わせ、であり、または、を含み、
    前記イメージング層は、酸化スズ系フォトレジストまたは水酸化酸化スズ系フォトレジストを含み、
    前記下層は、酸素(O)、シリコン(Si)、窒素(N)、タングステン(W)、ホウ素(B)、ヨウ素(I)、塩素(Cl)、または、これらの内の任意の2つ以上の組み合わせ、でドープされた水素化炭素の蒸着膜を備え、前記膜は、25nm以下の厚さを有する、方法。
  14. 請求項13に記載の方法であって、前記下層は、オキソカーボン前駆体の存在下または非存在下で炭化水素前駆体を用いて前記基板上に蒸着され、それにより、炭素含有膜を提供し、任意選択的に、前記オキソカーボン前駆体は、水素(H)または炭化水素と共反応し、任意選択的に、シリコン(Si)源ドーパントとさらに共反応する、方法。
  15. 請求項14に記載の方法であって、前記炭化水素前駆体は、アルカン、アルケン、または、アルキンを含む、方法。
  16. 請求項14に記載の方法であって、前記下層は、窒素含有前駆体、タングステン含有前駆体、ホウ素含有前駆体、および/または、ヨウ素含有前駆体の存在下で前記炭化水素前駆体を用いて蒸着され、それにより、ドープ膜を提供する、方法。
  17. 請求項16に記載の方法であって、前記ドープ膜は、ヨウ素、ヨウ素およびシリコンの組み合わせ、もしくは、ヨウ素、シリコン、および、窒素の組み合わせ、を含む、方法。
  18. 請求項13に記載の方法であって、前記下層は、酸化剤と共反応するシリコン(Si)含有前駆体を用いて前記基板上に蒸着され、前記Si含有前駆体は、任意選択的に、炭素(C)源ドーパントとさらに共反応する、方法。
  19. 請求項12から18に記載の方法であって、前記蒸着させることは、さらに、0W~約1000Wのバイアス電力でバイアスを印加し、約5%~100%のデューティサイクルを利用すること、を含む、方法。
  20. 請求項19に記載の方法であって、前記バイアスを印加すること、前記バイアスを印加することなしに形成された下層と比べて高い密度を有する前記下層を提供する、方法。
  21. 請求項12から19のいずれか一項に記載の方法であって、前記下層は、前記基板への蒸着の終了動作として、PECVDによって前記基板上に蒸着される、方法。
  22. 請求項12から19のいずれか一項に記載の方法であって、前記下層は、プラズマ強化化学蒸着(PECVD)または原子層蒸着(ALD)によって前記基板上に蒸着される、方法。
  23. 請求項12から22のいずれか一項に記載の方法であって、さらに、前記蒸着させた後に、粗面を提供するために前記下層を改質し、任意選択的に、酸素含有表面を提供するために前記下層または前記粗面を酸素含有プラズマに暴露させること、を備える、方法。
  24. 下層を蒸着させる方法であって、
    処理チャンバ内に基板を準備し、
    プラズマ強化化学蒸着(PECVD)処理によって、前記基板の表面上に水素化炭素膜を蒸着させること、
    を備え、
    前記水素化炭素膜は、低密度膜である、方法。
  25. 請求項24に記載の方法であって、前記PECVD処理は、メタン(CH)、アセチレン(C)、エチレン(C)、プロピレン(C)、プロピン(C)、アレン(C)、シクロプロペン(C)、ブタン(C10)、シクロヘキサン(C12)、ベンゼン(C)、および、トルエン(C)、から選択された炭素含有前駆体を導入することを含む、方法。
  26. 請求項25に記載の方法であって、前記PECVD処理は、さらに、窒素含有前駆体、タングステン含有前駆体、ホウ素含有前駆体、および/または、ヨウ素含有前駆体を導入することにより、ドープ膜を提供することを含む、方法。
  27. 請求項24に記載の方法であって、前記PECVD処理は、トランス結合プラズマ(TCP)または誘導結合プラズマ(ICP)を含む、方法。
  28. 請求項27に記載の方法であって、TCP電力が、バイアスなしで約100~1000Wである、方法。
  29. 請求項27に記載の方法であって、前記PECVD処理は、さらに、約10~1000mTorrの圧力および/または約0~100℃の温度を含む、方法。
  30. 請求項27に記載の方法であって、前記PECVD処理は、さらに、約10~1000Wの電力を有する印加パルスバイアス、または、約10~500Wの電力を有する印加連続波バイアスを含む、方法。
  31. 請求項30に記載の方法であって、前記印加パルスバイアスは、約1~99%のデューティサイクルと、約10~2000Hzのパルス化周波数と、を備える、方法。
  32. 請求項24から31に記載の方法であって、さらに、前記蒸着させた後に、粗面を提供するために前記水素化炭素膜を改質し、任意選択的に、酸素含有表面を提供するために前記粗面を酸素含有プラズマに暴露させること、を備える、方法。
  33. 基板を処理するための装置であって、
    基板支持体を備える処理チャンバと、
    前記処理チャンバおよび関連する流量制御ハードウェアに接続されている処理ガス源と、
    前記処理チャンバに接続されている基板ハンドリングハードウェアと、
    プロセッサおよびメモリを有するコントローラと、
    を備え、
    前記プロセッサおよび前記メモリは、互いに通信可能に接続され、前記プロセッサは、前記流量制御ハードウェアおよび前記基板ハンドリングハードウェアと少なくとも動作可能に接続され、前記メモリは、請求項12から32のいずれか一項の方法に記載された操作を実行するためのコンピュータ実行可能な命令を格納している、装置。
JP2021560945A 2020-01-15 2021-01-11 フォトレジスト接着および線量低減のための下層 Active JP7189375B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021176082A JP7419326B2 (ja) 2020-01-15 2021-10-28 フォトレジスト接着および線量低減のための下層
JP2023126668A JP2023138626A (ja) 2020-01-15 2023-08-03 フォトレジスト接着および線量低減のための下層

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062961647P 2020-01-15 2020-01-15
US62/961,647 2020-01-15
PCT/US2021/012953 WO2021146138A1 (en) 2020-01-15 2021-01-11 Underlayer for photoresist adhesion and dose reduction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021176082A Division JP7419326B2 (ja) 2020-01-15 2021-10-28 フォトレジスト接着および線量低減のための下層

Publications (2)

Publication Number Publication Date
JP2022534843A true JP2022534843A (ja) 2022-08-04
JP7189375B2 JP7189375B2 (ja) 2022-12-13

Family

ID=76864797

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2021560945A Active JP7189375B2 (ja) 2020-01-15 2021-01-11 フォトレジスト接着および線量低減のための下層
JP2021176082A Active JP7419326B2 (ja) 2020-01-15 2021-10-28 フォトレジスト接着および線量低減のための下層
JP2023126668A Pending JP2023138626A (ja) 2020-01-15 2023-08-03 フォトレジスト接着および線量低減のための下層

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021176082A Active JP7419326B2 (ja) 2020-01-15 2021-10-28 フォトレジスト接着および線量低減のための下層
JP2023126668A Pending JP2023138626A (ja) 2020-01-15 2023-08-03 フォトレジスト接着および線量低減のための下層

Country Status (8)

Country Link
US (2) US11314168B2 (ja)
EP (1) EP3908882A4 (ja)
JP (3) JP7189375B2 (ja)
KR (3) KR102431292B1 (ja)
CN (3) CN116705595A (ja)
SG (1) SG11202108851RA (ja)
TW (2) TWI828961B (ja)
WO (1) WO2021146138A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
SG11202112490QA (en) * 2019-05-16 2021-12-30 Lam Res Corp Extreme ultraviolet (euv) lithography using an intervening layer or a multi-layer stack with varying mean free paths for secondary electron generation
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US20230031955A1 (en) * 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
WO2023147212A1 (en) * 2022-01-28 2023-08-03 Lam Research Corporation Enhanced euv underlayer effect with diffusion barrier layer
US20230317452A1 (en) * 2022-03-31 2023-10-05 Nanya Technology Corporation Hard mask structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography
US20230408916A1 (en) * 2022-06-06 2023-12-21 Inpria Corpoartion Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023243410A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
EP4350437A1 (fr) * 2022-10-07 2024-04-10 Richemont International S.A. Procédé de fabrication d'un moule pour la formation de pièces métalliques par croissance métallique

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007123539A1 (en) * 2006-04-20 2007-11-01 Dover Electronics Inc. Coating for harsh environments and sensors using same
JP2009192350A (ja) * 2008-02-14 2009-08-27 Nec Corp 熱型赤外線検出器及びその製造方法
JP2010531931A (ja) * 2007-06-28 2010-09-30 サブ−ワン テクノロジー, インコーポレイテッド ダイアモンドイド前駆体を用いた内面へのダイアモンド状炭素被膜の作製方法
JP2010239087A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP2012173315A (ja) * 2011-02-17 2012-09-10 Seiko Epson Corp 波長可変干渉フィルター、光モジュール、および電子機器
JP2013096011A (ja) * 2011-10-31 2013-05-20 Hauzer Techno Coating Bv ワークピース上に水素フリーのta−C層を堆積させる装置および方法ならびにワークピース
JP2017108053A (ja) * 2015-12-11 2017-06-15 株式会社Screenホールディングス 電子デバイスの製造方法および積層体
US20180354804A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. Diamond-Like Carbon Film

Family Cites Families (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4061829A (en) 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
US4940854A (en) 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5094936A (en) 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
EP0465064B1 (en) 1990-06-29 1998-12-09 Fujitsu Limited Process for forming patterns
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
KR960000375B1 (ko) 1991-01-22 1996-01-05 가부시끼가이샤 도시바 반도체장치의 제조방법
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
US6013418A (en) 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
WO1999004911A1 (en) 1997-07-28 1999-02-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6057587A (en) 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2000003058A1 (en) 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
WO2000015868A1 (en) 1998-09-16 2000-03-23 Torrex Equipment Corporation High rate silicon deposition method at low pressures
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
JP2000356857A (ja) 1999-06-15 2000-12-26 Toshiba Electronic Engineering Corp パターン形成装置
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
JP2002118096A (ja) 2000-10-06 2002-04-19 Sony Corp アッシング装置
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
JP2003280155A (ja) 2002-03-22 2003-10-02 Fuji Photo Film Co Ltd 自動現像装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
EP2189842B1 (en) 2002-04-11 2017-08-23 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050142885A1 (en) 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
KR101101698B1 (ko) 2002-10-21 2011-12-30 나노잉크, 인크. 나노미터-수준으로 제어된 구조, 이의 제작을 위한 방법 및장치, 및 마스크 복구, 강화, 및 제작에의 적용
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
EP1609175A1 (en) 2003-03-31 2005-12-28 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US20040203256A1 (en) 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
CN1856742B (zh) * 2003-09-24 2010-11-24 日立化成工业株式会社 感光性元件、光阻图型的形成方法及印刷电路板制造方法
US7307695B2 (en) 2003-10-10 2007-12-11 Asml Netherlands B.V. Method and device for alignment of a substrate
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
US7885387B2 (en) 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100601979B1 (ko) 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) * 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) * 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7682659B1 (en) 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
KR20090025389A (ko) 2006-07-10 2009-03-10 픽셀리전트 테크놀로지스 엘엘씨 포토리소그래피용 레지스트
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5132920B2 (ja) 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
EP2105798A4 (en) 2007-01-17 2012-02-08 Sony Corp DEVELOPMENT SOLUTION AND METHOD FOR PRODUCING A FINE STRUCTURED MATERIAL
KR101392291B1 (ko) * 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8664513B2 (en) 2007-10-12 2014-03-04 OmniPV, Inc. Solar modules with enhanced efficiencies via use of spectral concentrators
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
CN101910456A (zh) * 2007-12-20 2010-12-08 贝卡尔特股份有限公司 涂覆有无定形氢化碳的基材
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090286397A1 (en) 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
KR20110050427A (ko) 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크
KR20110046439A (ko) 2008-07-24 2011-05-04 코비오 인코포레이티드 알루미늄 잉크 및 이의 제조 방법, 알루미늄 잉크 증착 방법 및 알루미늄 잉크의 인쇄 및/또는 증착에 의해 형성된 필름
US8435723B2 (en) 2008-09-11 2013-05-07 Nikon Corporation Pattern forming method and device production method
WO2010044400A1 (ja) 2008-10-14 2010-04-22 旭化成株式会社 熱反応型レジスト材料、それを用いた熱リソグラフィ用積層体及びそれらを用いたモールドの製造方法
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP4880004B2 (ja) 2009-02-06 2012-02-22 東京エレクトロン株式会社 基板処理システム
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
CN102405304A (zh) 2009-09-29 2012-04-04 东京毅力科创株式会社 Ni膜的成膜方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5682573B2 (ja) 2009-12-28 2015-03-11 旭硝子株式会社 感光性組成物、隔壁、カラーフィルタおよび有機el素子
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8227352B2 (en) 2010-04-30 2012-07-24 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US8415587B2 (en) 2010-12-03 2013-04-09 Uvtech Systems, Inc. Fiber-optic beam delivery system for wafer edge processing
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
KR101295791B1 (ko) 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 설비 및 기판 처리 방법
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
KR102009869B1 (ko) 2011-07-08 2019-08-12 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
EP2851192A4 (en) 2012-05-14 2015-12-23 Konica Minolta Inc GASPERRFILM, METHOD FOR THE PRODUCTION OF GASPERRFILMS AND ELECTRONIC DEVICE
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6034598B2 (ja) 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
KR101792165B1 (ko) 2012-12-18 2017-10-31 시스타 케미칼즈 인코포레이티드 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
KR102177738B1 (ko) 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
WO2015069658A1 (en) 2013-11-08 2015-05-14 Tokyo Electron Limited Method for using post-processing methods for accelerating euv lithography
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
CN106030417B (zh) 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102312211B1 (ko) 2014-02-26 2021-10-14 닛산 가가쿠 가부시키가이샤 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체장치의 제조방법
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
KR101989707B1 (ko) 2014-07-08 2019-06-14 도쿄엘렉트론가부시키가이샤 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
WO2016035549A1 (ja) 2014-09-02 2016-03-10 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
KR20170059991A (ko) 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6314779B2 (ja) 2014-10-01 2018-04-25 東京エレクトロン株式会社 液処理方法、記憶媒体及び液処理装置
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
CN107636852B (zh) 2015-03-09 2021-06-25 弗萨姆材料美国有限责任公司 用于沉积用作电阻随机存取存储器的多孔有机硅酸盐玻璃膜的方法
JP6404757B2 (ja) * 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
CN107567650A (zh) 2015-04-02 2018-01-09 东京毅力科创株式会社 使用双频电容耦合等离子体(ccp)以euv抗蚀剂进行的沟槽和孔图案化
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
JP6494417B2 (ja) 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102170659B1 (ko) 2015-11-25 2020-10-27 오사카 유니버시티 레지스트패턴 형성방법 및 레지스트재료
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10948825B2 (en) 2015-12-23 2021-03-16 Asml Netherlands B.V. Method for removing photosensitive material on a substrate
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
GB201603988D0 (en) * 2016-03-08 2016-04-20 Semblant Ltd Plasma deposition method
KR102394042B1 (ko) 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
US11315798B2 (en) 2016-04-08 2022-04-26 Intel Corporation Two-stage bake photoresist with releasable quencher
KR20190003528A (ko) 2016-04-28 2019-01-09 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 레지스트 하층막 형성용 조성물, 리소그래피용 하층막, 및, 패턴 형성방법
WO2017198418A1 (en) 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
KR20230166158A (ko) 2016-08-12 2023-12-06 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
JPWO2018061670A1 (ja) 2016-09-29 2019-06-24 富士フイルム株式会社 処理液、および積層体の処理方法
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102047538B1 (ko) * 2017-02-03 2019-11-21 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
JP2020095068A (ja) 2017-03-31 2020-06-18 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10553409B2 (en) 2017-05-12 2020-02-04 Tokyo Electron Limited Method of cleaning plasma processing apparatus
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN108962986B (zh) 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6852566B2 (ja) 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102067081B1 (ko) 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
WO2019111727A1 (ja) 2017-12-06 2019-06-13 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
WO2019125952A1 (en) 2017-12-18 2019-06-27 Tokyo Electron Limited Plasma treatment method to enhance surface adhesion for lithography
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
NL2022556A (en) 2018-02-13 2019-08-19 Asml Netherlands Bv Cleaning a structure surface in an euv chamber
WO2019163455A1 (ja) 2018-02-22 2019-08-29 株式会社ダイセル 基板親水化処理剤
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US20190348292A1 (en) * 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
JP2021523403A (ja) 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
CN112204169A (zh) 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
JP7085621B2 (ja) 2018-05-29 2022-06-16 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210010587A (ko) 2018-06-13 2021-01-27 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
JP7295891B2 (ja) 2018-06-21 2023-06-21 インプリア・コーポレイション モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6597872B2 (ja) 2018-11-13 2019-10-30 東京エレクトロン株式会社 基板処理方法
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US20200174374A1 (en) 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN109521657A (zh) * 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
KR20210095218A (ko) 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
WO2020176425A1 (en) 2019-02-25 2020-09-03 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching
WO2020190941A1 (en) 2019-03-18 2020-09-24 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR20210134072A (ko) 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
KR20210151229A (ko) 2019-04-29 2021-12-13 램 리써치 코포레이션 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
US20220216050A1 (en) 2019-04-30 2022-07-07 Lam Research Corporation Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
EP3990987A4 (en) 2019-06-26 2023-08-16 Lam Research Corporation DEVELOPMENT OF PHOTORESIST WITH HALOGEN CHEMICALS
US20220308462A1 (en) 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
TW202113506A (zh) 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
KR20220031647A (ko) 2019-06-28 2022-03-11 램 리써치 코포레이션 복수의 패터닝 복사-흡수 엘리먼트들 및/또는 수직 조성 경사 (composition gradient) 를 갖는 포토레지스트
WO2020264556A1 (en) 2019-06-28 2020-12-30 Lam Research Corporation Bake strategies to enhance lithographic performance of metal-containing resist
KR20210007862A (ko) 2019-07-09 2021-01-20 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
WO2021067632A2 (en) 2019-10-02 2021-04-08 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
US20220299877A1 (en) 2019-10-08 2022-09-22 Lam Research Corporation Positive tone development of cvd euv resist films
CN116705595A (zh) * 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US20230031955A1 (en) 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
WO2021178302A1 (en) 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR20220160112A (ko) 2020-03-30 2022-12-05 램 리써치 코포레이션 밀폐형 오버레이어 (hermetic overlayer) 에 의한 포지티브 톤 건식 현상 (positive tone dry development) 을 달성하기 위한 구조체 및 방법
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
KR20220164031A (ko) 2020-04-03 2022-12-12 램 리써치 코포레이션 Euv 리소그래피 성능을 향상시키기 위한 사전 노출 포토레지스트 경화
WO2021262371A1 (en) 2020-06-22 2021-12-30 Lam Research Corporation Surface modification for metal-containing photoresist deposition
JP2023531102A (ja) 2020-06-22 2023-07-20 ラム リサーチ コーポレーション フォトレジストの乾式裏面及びベベルエッジ洗浄
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
CN116171403A (zh) 2020-07-17 2023-05-26 朗姆研究公司 来自Sn(II)前体的光致抗蚀剂
KR20230041749A (ko) 2020-07-17 2023-03-24 램 리써치 코포레이션 유기 공-반응 물질들 (co-reactants) 을 사용한 건식 증착된 포토레지스트들
KR20230050333A (ko) 2020-07-17 2023-04-14 램 리써치 코포레이션 금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들
JP2023534961A (ja) 2020-07-17 2023-08-15 ラム リサーチ コーポレーション タンタルを含むフォトレジスト
WO2022016128A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Method of forming photo-sensitive hybrid films
KR20230152171A (ko) 2020-11-13 2023-11-02 램 리써치 코포레이션 포토레지스트의 건식 제거를 위한 프로세스 툴
KR20230113400A (ko) 2020-12-08 2023-07-28 램 리써치 코포레이션 유기 증기를 사용한 포토레지스트 현상

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007123539A1 (en) * 2006-04-20 2007-11-01 Dover Electronics Inc. Coating for harsh environments and sensors using same
JP2010531931A (ja) * 2007-06-28 2010-09-30 サブ−ワン テクノロジー, インコーポレイテッド ダイアモンドイド前駆体を用いた内面へのダイアモンド状炭素被膜の作製方法
JP2009192350A (ja) * 2008-02-14 2009-08-27 Nec Corp 熱型赤外線検出器及びその製造方法
JP2010239087A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP2012173315A (ja) * 2011-02-17 2012-09-10 Seiko Epson Corp 波長可変干渉フィルター、光モジュール、および電子機器
JP2013096011A (ja) * 2011-10-31 2013-05-20 Hauzer Techno Coating Bv ワークピース上に水素フリーのta−C層を堆積させる装置および方法ならびにワークピース
JP2017108053A (ja) * 2015-12-11 2017-06-15 株式会社Screenホールディングス 電子デバイスの製造方法および積層体
US20180354804A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. Diamond-Like Carbon Film

Also Published As

Publication number Publication date
JP7419326B2 (ja) 2024-01-22
US20220035247A1 (en) 2022-02-03
US11314168B2 (en) 2022-04-26
TW202212958A (zh) 2022-04-01
JP2023138626A (ja) 2023-10-02
KR102539806B1 (ko) 2023-06-05
SG11202108851RA (en) 2021-09-29
EP3908882A4 (en) 2022-03-16
CN116705595A (zh) 2023-09-05
US20220043334A1 (en) 2022-02-10
JP2022101465A (ja) 2022-07-06
TWI828961B (zh) 2024-01-11
CN113574456A (zh) 2021-10-29
CN114200776A (zh) 2022-03-18
EP3908882A1 (en) 2021-11-17
JP7189375B2 (ja) 2022-12-13
US11988965B2 (en) 2024-05-21
KR20220115628A (ko) 2022-08-17
KR20210124492A (ko) 2021-10-14
CN113574456B (zh) 2023-05-26
KR20210135004A (ko) 2021-11-11
KR102431292B1 (ko) 2022-08-09
TWI795094B (zh) 2023-03-01
TW202138905A (zh) 2021-10-16
WO2021146138A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
JP7189375B2 (ja) フォトレジスト接着および線量低減のための下層
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
WO2021202146A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266664A1 (en) Photoresists from sn(ii) precursors
CN116134380A (zh) 光敏混合膜的形成方法
CN116134381A (zh) 含钽光致抗蚀剂
TW202417974A (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
TW202246893A (zh) 具有量子效率之光阻及其方法
TW202401131A (zh) 含金屬光阻的顯影後處理
TW202303279A (zh) 含鹵素及脂肪族之有機錫光阻及其方法
WO2021202198A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211021

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211021

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20211021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221201

R150 Certificate of patent or registration of utility model

Ref document number: 7189375

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150