KR20090025389A - 포토리소그래피용 레지스트 - Google Patents

포토리소그래피용 레지스트 Download PDF

Info

Publication number
KR20090025389A
KR20090025389A KR1020097002626A KR20097002626A KR20090025389A KR 20090025389 A KR20090025389 A KR 20090025389A KR 1020097002626 A KR1020097002626 A KR 1020097002626A KR 20097002626 A KR20097002626 A KR 20097002626A KR 20090025389 A KR20090025389 A KR 20090025389A
Authority
KR
South Korea
Prior art keywords
resist
solubility
light
illuminating
intensity
Prior art date
Application number
KR1020097002626A
Other languages
English (en)
Inventor
그레고리 디. 쿠퍼
지윤 첸
고넨 윌리엠스 세르필
래리 에프. 톰슨
Original Assignee
픽셀리전트 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 픽셀리전트 테크놀로지스 엘엘씨 filed Critical 픽셀리전트 테크놀로지스 엘엘씨
Publication of KR20090025389A publication Critical patent/KR20090025389A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03CPHOTOSENSITIVE MATERIALS FOR PHOTOGRAPHIC PURPOSES; PHOTOGRAPHIC PROCESSES, e.g. CINE, X-RAY, COLOUR, STEREO-PHOTOGRAPHIC PROCESSES; AUXILIARY PROCESSES IN PHOTOGRAPHY
    • G03C7/00Multicolour photographic processes or agents therefor; Regeneration of such processing agents; Photosensitive materials for multicolour processes
    • G03C7/04Additive processes using colour screens; Materials therefor; Preparing or processing such materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Abstract

이-단계 기법을 이용하여 리소그래피용 비-선형 레지스트를 제공하는 다-단계 가역 광-화학 반응을 포함하는 새로운 루트들이 본 발명에 기술되어 있다. 이 새로운 루트들은 광의 세기에 2차적으로 의존하는 노광을 제공할 수 있다. 나노결 정을 이용하는 것을 포함하지만 이에 한정되지 않는 수개의 특정 실시예들이 또한 기술되어 있다. 이중 패터닝과 결합되어 이러한 접근법들은 회절한계 이하의 피쳐 밀도를 만들 수 있다.
리소그래피, 레지스트, 광자, 나노결정, 비선형

Description

포토리소그래피용 레지스트{RESISTS FOR PHOTOLITHOGRAPHY}
본 발명은 리소그래피 공정용 I2 레지스트에 관한 것이다. 더욱 상세하게는, 본 발명은 노광 세기에 대한 2차(quadratic) 의존성을 제공하는 다-단계 광 반응에 관한 새로운 구상에 관한 것이다. 더 더욱 상세하게는, 본 발명의 비제한적 측면들은 이-광자 또는 다-광자 흡수에 Auger 재결합 공정을 제공하는 것에 관한 것이며 반도체 나노결정에 관한 것이다.
리소그래피와 레지스트
리소그래피는 이미지 또는 패턴을 마스크로부터 기판상에 전사하는데 이용된다. 리소그래피의 하나의 사용 예는 집적 회로 등의 반도체 디바이스를 제조하는 것이다. 1971년 이래 계속된 리소그래피 분야의 진보는 2006년도에 이르러 집적 회로(IC) 생산자들로 하여금 최소 피쳐 사이즈를 10 - 20 마이크론으로부터 65 나노미터까지 축소하는 것을 가능하게 하였다. 이러한 꾸준화 소형화는 IC 성능의 향상 및 반도체 산업의 성장을 가능하게 했다.
광학 리소그래피 시스템의 예는 광원, 마스크, 투사 광학 시스템 및 레지스트 코팅된 기판을 포함한다. 마스크(예를 들면, 일 표면상에 크롬 패턴을 갖는 석 영 기판)를 통과한 광은 투사 광학 시스템에 의해 수집되어 레지스트상에 축소된 이미지를 형성한다. 레지스트는 노광될 때 화학적 성질이 변한다. 현상 후에, 마스크의 동일하거나 상보적인 패턴이 레지스트에 전사된다. 일 예로서 에칭 등의 추가 처리에 의해 패턴을 아래의 기판상으로 옮긴다. 이러한 기법을 서로다른 마스크들을 이용하여 여러번 반복함으로써 다층 구조(예를 들면, 규소 또는 다른 재료에 근거한 집적 회로)를 제조할 수 있다.
일반적으로, 리소그래피에 이용되는 타입의 레지스트는 화학방사선(actinic radiation)에 노광시 용해도가 변하는 박막 재료이다. 레지스트를 마스크로 이용하여 3차원 구조물을 만들 수 있다. 이러한 공정을 이용하여 전자 장치를 제조할 수 있다. 일반적으로, 네가티브형 레지스트 및 포지티브형 레지스트 등 폭넓게 2개의 집단의 레지스트가 있다. 네가티브형 레지스트는 노광시 용해성이 작아진다(즉, 노광된 영역은 적절한 용매, 현상제로 처리한 후에 남게된다). 포지티브형 레지스트는 노광후에 용해성이 커진다(즉, 노광된 레지스트는 현상제에 의해 제거된다). 각각의 이러한 두개의 레지스트 분류 내에서, 많은 다른 레지스트들을 오랫 동안 사용해왔다. 두개 모두의 타입에 대해 알려진 많은 화학 메카니즘들이 존재한다.
상업적으로 이용가능한 레지스트는 일반적으로 예를 들면, 다음의 성질들을 포함한 수개의 성질을 갖는다:
● 화학방사선에 대한 적절한 감광도(sensitivity)- 각각의 노광 기법은 유한 에너지 및/또는 세기를 갖는 복사 원(radiation source)을 사용한다. 레지스트의 감광도는 노광 시스템이 충분한 작업 처리량으로 작동하는 것을 가능하게 한다.
● 해상도(resolution)- 각각의 노광 기법을 발전시켜 정의된 최소 피처(3차원 구조물)를 갖는 장치를 제조하는데 유용한 피처를 생산한다. 레지스트는 이러한 피처(feature)들을 양호한 공정 허용도(process latitude)로 해상할 수 있다.
● 부착(adhesion)- 레지스트는 장치 표면상에 스핀 코팅된 박막이다. 레지스트는 표면에 부착되어 만족할 만하게 아래 놓이는 박막의 이후 처리를 가능하게 한다.
● 내식각성(etch resistance)- 대부분의 장치 공정들은 레지스트에 의해 보호되지 않는 박막의 선택된 부분의 제거를 포함한다. 레지스트는 최종, 원하는 패턴을 만들기 위해 이용되는 임의의 공정, 즉, 액체 에칭, 플라즈마 에칭, 이온 에칭 등을 "견디게 된다".
● 낮은 결함 밀도 - 바람직하게는 레지스트는 박막에 추가의(온당한 범위 내에서) 결함이 생기게 하지 않아야 한다.
● 방사 용매(spinning solvent), 현상제(developer) 등의 "안전한 처리 화학물"의 사용 능력
● 제조의 용이성.
● 적절한 보관 수명.
다수의 화학 메카니즘들이 포지티브형 및 네가티브형 레지스트 모두에 이용되어왔다. 일부의 흥미로운 네가티브형 레지스트 메카니즘은 가교 및 분자량 증가를 포함한다. 예를 들면, 폴리머가 가교될 경우, 폴리머는 보통의 유기 용매에 불용성이 된다. 복사에 대한 노광이 가교를 유도할 수 있으면, 재료는 전자 장치의 제조에 이용되는 박막을 패터닝하기 위한 레지스트로서 이용될 수 있다. 하나의 비-제한적 예는 전자빔 레지스트 COP, 글리시딜 메트아크릴레이트와 에틸 아크릴레이트의 코폴리머이다. 가교는 에폭시 부분을 통해 일어난다. 또 다른 네가티브형 레지스트는 고리화 폴리(cis-이소프렌)과 비스(아릴아지드)의 가교를 기반으로 한다. 게다가, 폴리머의 용해도는 일반적으로 폴리머의 분자량에 관계된다. 분자량이 증가함에 따라, 용해도는 감소한다. 비스(아릴아지드)와 제제될 때, 폴리(p-히드록시스티렌)(PHOST)은 복사에 의해 유도된 분자량 증가를 겪으며, 그결과 용해도가 감소한다. 비스(아릴아지드)의 구조를 수정함으로써, 재료는 광범위한 복사 파장에 민감하게 만들어질 수 있다.
포지티브형 레지스트 메카니즘의 예는 다음의 메카니즘을 포함한다:
● 쇄절단(chain scission) - 대부분의 폴리머는 조사(irradiation)의 결과로 가교한다; 하지만, 일부는 쇄절단과 분자량 감소를 겪는다. 보다 낮은 분자량은 노광된 폴리머가 적절한 용매(현상제)에 선택적으로 용해될 수 있게 한다. 폴리(메틸 메트아크릴레이트)(PMMA)는 쇄절단을 겪으며 전자빔 레지스트로서 널리 사용되어온 잘 알려진 폴리머이다. PMMA의 감광도(sensitivity)는 제조에 이용되기에 너무 낮다. 또 다른 집단의 폴리머, 폴리(올레핀 술폰)은 PMMA 보다 10× 더 큰 감광도를 나타내고 폴리(부텐-술폰)은 포토마스크의 제조에 있어서 전자빔 레지스트로서 오랫 동안 사용되어 왔다.
● 화학적 증폭(chemical amplification)- 화학적 증폭에 근거한 매우 민감한 포지티브형 레지스트가 개발되었다. 전형적으로 공정 예는 메트릭스 폴리머에 화학적으로 결합한 보호 기(protective group)를 탈보호(de-blocking)하는 등의 많은 이후의 반응들에 촉매작용을 미치는 산성 종(일부 염기성 촉매 시스템들이 설명되었다)의 광-발생(photo-generation)을 포함한다. 그러한 시스템중 하나는 메트릭스 수지, 폴리(4-t-부톡시카르보닐스티렌)(TBS) 및 아릴술포늄 또는 요오드늄 염에 근거한다. 복사를 이용하여 산을 발생시키고, 산은 차례로 염기 용해성 폴리(비닐알코올)에 귀착되는 t-부톡시카르보닐을 제거한다. 하나의 산성 기는 수백개 까지의 탈보호 이벤트(de-protection event)를 일으키고, 이렇게 원하는 반응을 증폭한다. 이러한 재료와 그의 유도체들은 극-자외선(248㎚ & 193㎚) 리소그래피에서 선택되는 레지스트로서 폭넓게 사용되고 있다.
현재의 제조에 사용되는 모든 레지스트는 선형 레지스트이며, 이들은 회절 한계가 허용하는 것보다 더 작은 패턴을 생성할 수 없다. 회절 한계 이하의 패턴을 만들기 위해서는, 이중 또는 다중 패터닝과 결합된 비-선형 레지스트가 요구된다.
이-광자 레지스트(two-photon resist) 및 다-광자 레지스트(multi-photon resist)
2개의 레벨, 즉 초기 레벨 E1과 최종 레벨 E2을 갖는 양자 시스템에, 에너지 E2 - E1을 갖는 광자가 흡수될 수 있으며, 이는 일 광자 흡수 공정에서 전자를 E1에서 E2로 활성화시킨다. 또한, 이-광자 흡수로 불리는, 보다 가능성이 적은 공정이 일어날 수 있다. 이 공정에서, 에너지 (E2-E1)/2를 갖는 두개의 광자가 동시에 흡수 될 수 있다. 같은 위치에 두개의 광자가 동시에 존재할 것이 요구되기 때문에, 이-광자 흡수 공정은 일-광자 공정보다 더 작은 확률을 갖는다. 마찬가지로, 삼-광자, 사-광자 및 다-광자가 흡수될 수 있으며 확률은 감소된다.
이-광자 흡수에서,
Figure 112009007908085-PCT00001
상기 식에서, I는 빔의 세기이고 β는, 일-광자, 또는 선형, 흡수 영역과 나란한 이-광자 흡수 계수로 정의된다:
상기 식에서, α는 일 광자 흡수 계수이다.
이-광자 흡수 횡 단면은 다음의 흡수율을 통해 정의된다:
Figure 112009007908085-PCT00003
여기서, I는 광자의 수 밀도(단위 면적당 단위 초당 광자들의 수)이고 δ는 이-광자 흡수 횡 단면이다.
Wu 등은 광학 리소그래피에서 사용되는 이-광자 레지스트를 제안하였다( E. S. Wu, J. H. Strickler, W. R. Harrell 과 W. Webb, Proc. SPIE 1674, 776(1992) 참조). 이-광자 레지스트에서, 레지스트에 있는 광 감광제(photo sensitizer)는 오직 이-광자 흡수 공정을 통해 노광되게 된다. 세기에 대한 2차 의존성 때문에, 이- 광자 레지스트는 레지스트에서 날카로운 피처(sharpened feature)를 만들 수 있다. 도 1에 나타낸 바와 같은 표준화 노광 프로파일에 의해 뒷받침 되듯이, 리소그래피에서의 표준 테스팅 패턴은 두개의 간섭 평면 파에 의해 만들어지는 선들과 공간들이다. 회절 한계에서, 레지스트에서의 광세기 분포는 다음과 같이 표현될 수 있다:
Figure 112009007908085-PCT00004
상기 식에서, NA는 광학 시스템의 개구수(numerical aperture)이고 λ는 광의 파장이다.
도 1에서, 에어리얼 패턴(aerial pattern)은 선형 레지스트(P1)에 비교하여 보다 날카로운 레지스트 프로파일(P2)로 변형된다. P1, P1.5, P2 및 P4는 각각 1, 1.5, 2 및 4 광자 흡수 프로파일이다. 이중 패터닝 또는 다중-노광과 결합하여, 이-광자 레지스트는 회절 한계 이하의 이미지를 만들 수 있으며 광학 리소그래피를 그의 현재 한계 넘어로 확장시키는 유망한 기술이다(예를 들면, Ch. J. Schwarz, A. V. V. Nampoothiri, J. C. Jasapara, W. Rudolph, 와 S. R. J. Brueck, J. Vac. Sci. & Tech. B 19 (6): 2362-2365(2001) 참조). 도 2는 이-광자 레지스트가 이중 패터닝을 가능하게 하는 방법을 설명한다. 1/4의 공간상 주기 만큼 이동한 두개의 노광(P1A 및 P1B)은, 선형 레지스트에서의 균일한 노광(PF1)에 귀착되고, 도 2a에 나타낸 것 처럼, 선형 레지스트는 두개의 노광을 합하고 일정한 노광에 귀착되며, 모든 콘트라스트를 잃게 된다. 이 광자 레지스트는 비-선형 레지스트이다. 비선형 레지스트는 노광 세기나 시간 둘 중 하나, 또는 양쪽 모두에 대한 비선형 응답 속 도(response rate)을 가진다. 도 2b에 나타낸 것처럼, 이상적인 이-광자 레지스트에서, 두개의 노광(P2A, P2B)은 이중의 공간상 주파수를 갖는 노광 프로파일(PF2)에 귀착된다. 각각의 노광의 광 패턴의 공간상 주파수가 회절 한계에 있으면, 이러한 이중 패터닝 공정은 회절 한계 이하의 리소그래피를 가능하게 한다.
실제로, 위의 논의와 비슷하게, 다-광자 흡수 공정은 다-광자 레지스트를 생산하는데 이용될 수 있다. 다-광자 공정에서, 흡수율 R은 다음과 같다:
Figure 112009007908085-PCT00005
상기 식에서, P는 하나의 흡수 이벤트에 포함된 광자의 수와 같다. 다-광자 레지스트는, 도 2에 나타낸 바와 같이, 예를 들면 P = 4(P4)의 심지어 보다 높은 해상도를 달성할 수 있다.
게다가, 식(5)에서, 비록 1<P<2 일지라도, 도 1에 나타낸 바처럼, P = 1.5(P1.5)에 대해 해상도는 여전히 향상되게 된다.
하지만, 현재 이-광자 레지스트는, 평면 패턴의 제조가 아닌, 주로 3-D 패턴을 제조하는데 이용된다. 주된 이유는 매우 높은 광 세기가 관련되기 때문이다. 종래의 이-광자 흡수 공정은 결국 이차 공정이다. 이는 흡수 분자상에서 2개의 광자들의 절대적으로 일치(coincidence)을 필요로한다. 흡수 횡-단면은 ~ 10-50cm4 s로 매우 작다(E. S. Wu, J. H. Strickler, W. R. Harrell 과 W. Webb, Proc. SPIE 1674, 776(1992) 참조). 실제적인 세기를 이루기 위해서는, 피코-초 또는 펨토-초 레이저가 사용되야 한다. 현재 리소그래피 산업에 사용되는 DUV 레이저는 펄스폭 ~ 10ns를 갖는다. 본 발명자들은 전통적인 이-광자 흡수가 아닌 메카니즘에 기반한 새로운 타입의 이-광자 레지스트를 설명한다. 이 레지스트에서의 노광은 광 세기에 대한 2차 또는 보다 높은 고차 의존성을 가질 수 있으나 전통의 이-광자 흡수를 포함하지 않을 수 있고, 따라서, 본 발명자들은 이를 I2 레지스트라 부른다. 본 발명자들의 정의에 의한, 이-광자 레지스트는 I2 레지스트의 특수한 경우이다.
바람직한 비제한적인 구현예는 리소그래피용 비-선형 레지스트를 제공한다. 비제한적인 레지스트의 전형적인 구현의 예는 예를 들면 비-선형 산 발생제 및 중합 수지를 포함한다.
고흡수 횡-단면을 갖는 비-선형 레지스트는 기존 광학 리소그래피에 통합되어 생산물에서 회절-한계 이하의 패턴을 만들 수 있다. 일련의 전형적인 비-제한적 반응들을 다음과 같이 고려한다:
Figure 112009007908085-PCT00006
본 발명에서, "⇔"는 가역 반응을 나타내고, hυ는 주파수 υ를 갖는 광자를 나타낸다. A는 바닥 상태의 광 감광제가 될 수 있다. 이는 원자, 원자들의 그룹, 분자, 분자들의 그룹, 나노결정 또는 나노결정들의 그룹을 포함할 수 있다. B는 여기 상태에 있는, 즉 다른 전자 구성, 공간 배열, 이온 상태 등에 있는 A와 같은 광 감광제이거나 다른 분자, 나노결정, 원자들의 그룹, 분자들 또는 나노결정들을 포함할 수 있다. C는 여기 상태에 있는, 즉 다른 전자 구성, 공간 배열, 이온 상태 등에 있는 B와 같은 실체이거나 다른 분자, 나노결정, 원자들의 그룹, 분자들 또는 나노결정들을 포함할 수 있다. 그리고 D는 원자, 분자, 나노결정, 분자들의 그룹, 나노결정들의 그룹, 이온, 전자, 양자, 다른 파장의 광자, 쇄절단 이벤트, 가교 이벤트 또는 궁극적으로 레지스트의 노광에 귀착될 수 있는 일련의 반응들을 포함할 수 있다.
I는 광의 세기이고, [A], [B] 및 [C]는 각각 A, B 및 C의 농도이다. [A]+[B]+[C]=CO이며, 이는 A의 초기 농도임을 알아야 한다. σ1 및 σ2는 화학선 파장에서 반응물 A 및 B의 몰흡광계수이고, k1와 k2는 각각의 역 반응의 반응 속도이다. 그리고 k3는 C → D의 반응 속도이다.
식 6a 및 식 6b에 기술된 반응들은 광세기에 대한 레지스트의 비-선형 응답에 이른다. 하나의 전형적인 비제한 구현예는 예를 들면, 식(6a 및 6b)의 반응들이 정상 상태(steady-state)에 있는 것을 포함하며, 이는 정반응 및 역반응의 균형이 잡혀 있는 것을 의미한다. 다음 식을 유도할 수 있다:
Figure 112009007908085-PCT00007
상기 식에서 R은 반응 생성물 D의 생성 속도이다.
명백히 D의 생성 속도는 광세기 I에 대해 비-선형 관계를 가진다. 일정한 상황하에서, 예를 들면, [B], [C]<<C0이 되도록 반응을 제어할 수 있는 경우, 다음 식을 얻을 수 있다:
Figure 112009007908085-PCT00008
그리고 생성물 D가 레지스트에서의 전체 노광에 비례할 경우, 식(8)은 본질적으로 I2레지스트를 제공하는데, 이는 도 2에 나타낸 바와 같은 이중의 패터닝을 가능하게 한다. 하지만, 식(8)에 기술된 반응은 오직 일-광자 몰흡광계수만을 채용하므로 이는 현재의 리소그래피 기반구조에 달성 가능한 세기로 실현될 수 있다.
또한, 식(6a 및 6b)의 다른 변형 및 변형의 조합은 같은 I2 의존성 관계에 귀착될 수 있다. 비-제한적 예는 4개의 공존 반응들로 다음과 같이 설명될 수 있다:
Figure 112009007908085-PCT00009
상기 식에서 B1 및 C1은 반응 부산물이다. 그들은, 다른 상태, 즉 다른 전자 구성, 공간 배열, 이온 상태 등에 있는 전에 설명된 것과 같은 광 감광제이거나 다른 원자들, 분자들, 나노결정들, 원자들의 그룹, 분자들의 그룹, 나노결정들의 그룹, 이온들, 양자들, 다른 파장의 광자들, 쇄절단 이벤트 또는 이벤트들, 가교 이벤트 또는 이벤트들, 또는 반응들의 시리즈(series)를 포함할 수 있다.
전형적인 비-제한적 반응들의 또 다른 시리즈를 고려하면 다음과 같다:
Figure 112009007908085-PCT00010
A1 및 A2는 두개의 다른 광-감광제일 수 있으며, 또한 그들은 원자들, 원자들의 그룹, 분자들, 분자들의 그룹, 나노결정들 또는 나노결정들의 그룹이 될 수 있다. B는 여기 상태에 있는, 즉 다른 전자 구성, 공간 배열 등에 있는 A1과 같은 광 감광제이거나 다른 분자, 나노결정, 원자들의 그룹, 분자들 또는 나노결정들일 수 있다. C는 여기 상태에 있는, 즉 다른 전자 구성, 공간 배열, 이온 상태 등에 있는 A2와 같은 광 감광제이거나 다른 분자, 나노결정, 원자들의 그룹, 분자들 또는 나노결정들일 수 있다. 그리고 D는 원자, 분자, 나노결정, 분자들의 그룹, 나노결정, 전자, 양자, 다른 파장의 광자, 쇄절단 이벤트 또는 이벤트들, 가교 이벤트 또는 이벤트들, 또는 궁극적으로 레지스트의 노광에 귀착될 수 있는 일련의 반응들을 포함할 수 있다.
식 10a, 10b, 및 10c에 기술된 반응들은 광 세기에 대한 레지스트의 비-선형 응답에 이른다. 하나의 비-제한적 구현들의 전형적인 예는, 예를 들면, 정상 상태에 있는 식(10a, 10b 및 10c)의 반응들을 포함한다. 마지막 반응이 제1차 반응이라고 가정하면, D의 생성 속도는 다음과 같이 나타낼 수 있다:
Figure 112009007908085-PCT00011
D에 대한 생성 속도는 I2 관계이고 동시에 식(11)에 나타낸 바와 같은 오직 일-광자 몰흡광계수를 채용하므로, 이는 현재 광학 리소그래피 기반구조에서 달성가능한 세기로 실현될 수 있다.
비-제한적 레지스트 구현의 전형적인 예는, 예를 들면, 반도체 나노결정, 산 발생제 및 중합 수지를 포함한다. 그러한 비-제한의 전형적인 나노결정은 리소그래피 파장보다 작거나 같은 밴드갭을 가질 수 있다. 대략적으로, 나노결정은 1 내지 100㎚의 직경을 갖는 입자들로 그의 체적 대응물의 화학양론 및 결정 구조를 보유하는 입자들로 정의된다. 그들은 양자점(quantum dot), 양자구(quantum sphere), 양자 정자(quantum crystallite), 미세-결정(micro-crystal), 콜로이드 입자(colloidal particle), 나노-입자(nano-particle), 나노-클러스터(nano-cluster), Q-입자(Q-particle) 또는 인공 원자(artificial atom) 등의 다른 이름을 가진다. 또한 그들은 구형(spherical), 입방형(cubical), 봉형(rod-like), 정방형(tetragonal), 단일 또는 다중-벽 나노-튜브(multi-walled nano-tube) 등의 다른 형태를 가진다.
그들의 작은 크기 때문에, 종종 나노결정들은 그들의 부피 대응물들과는 극적으로 다른 물리적 특성들을 나타낸다. 크기-양자화(size-quantization)와 밴드갭의 조정성(tunability)이 가장 두드러진다. 예를 들면, 모델 반도체 나노결정 재료중 하나인 CdSe에서, 광학 흡수는 간단히 크기를 변화시킴으로써 ~700㎚에서 ~400㎚로 이동할 수 있다(C.B. Murray, D.J. Norris, M.G. Bawendi, J. Am. Chem. Soc. 115, 8706(1993) 참조).
도 3a에 나타낸 바처럼, 양자화 에너지 레벨을 갖는 하나의 나노결정(NC)이 밴드갭과 같거나 보다 큰 에너지를 갖는 광자와 충돌된다. 이 광자는 전자(Q1)를 가전자대(E1)의 에너지 레벨에서 전도대(E2)의 레벨로 활성화시키며, E1에 정공(H1)을 남긴다. 전자 및 정공은 신속히 격자로 열중성자화하고 그들의 에너지를 그들 각각의 가장 낮은 에너지 레벨(EC 및 EV)로 덜어내고 여기자를 형성하며, 같은 에너지를 갖는 광자의 제2 흡수를 허용한다. 보통 이 공정은 1피코-초 보다 짧은 시간에 일어난다(V.I. Klimov, D.W. McBranch, C.A. Leatherdale 및 M.G. Bawendi, Phys. Rev B 60, 13740(1999) 참조). 도 3b에 나타낸 바처럼, 제1 여기자가 재결합하기 전에 제2 광자가 들어오게 되면, 제2 전자-정공 쌍(Q2 와 H2)이 생성되며, 이는 다음에, 도 3b에 나타낸 것 처럼, 그의 에너지를 덜어내고 또 다른 여기자를 형성한다. 도 3b에서, 같은 에너지 레벨에 두개의 전자들 및 정공들이 있지만, 파울리의 배타 원리에 위배되지 않음을 주목해야 한다. 상기 전자-정공 쌍들은 여기자의 형태인데, 이는 보손(boson)이며 배타 원리를 따르지 않는다. 도 3c에 있는 특정 예에서, Q1과 H1의 재결합에 의해 방출된 에너지는 Auger 공정을 통해 Q2로 전달된다. 전자 Q2는 나노 결정과 주위 매질 사이의 계면 장벽을 극복할 정도의 에너지를 얻어 나노결정으로부터 배출되고 Auger 전자(QA)를 형성하며, 도 3c에 나타낸 바 처럼, 상기 나노 결정에 양의 정공을 남기게 된다. 상기 비선형 레지스트에서, ES는 표면 레벨, 계면 레벨, 주위 매질의 결함 레벨, 또는 계면활성제나 전자 포착제(scavenger), 광-산 발생제 또는 레지스트에서의 다른 기능성 화학물에 의해 제공될 수 있다.
여기에 설명된 공정은 식(5)에 비추어 설명될 수 있다. A를 반도체 나노결정으로 교체하고, B를 같은 나노결정 및 하나의 여기자로 교체하고, C를 같은 나노결정 및 두개의 여기자로 교체하고, D를 대전된 나노결정 및 배출된 전자로 교체하기만 하면된다. 결과는 다음과 같다:
Figure 112009007908085-PCT00012
상기 식에서, e는 전자를 나타내고, h는 정공을 나타내고, (e-h)는 여기자를 나타내고;[C1], [C2], [C3]는 각각 여기자를 갖지 않는, 하나의 여기자를 갖는, 두개의 여기자를 갖는 나노결정의 농도이다;그리고 또 [C1] + [C2] + [C3]=C0, 초기 나노결정 농도이다.
V.I. Klimov, A.A. Mikhailovsky, D.W. McBranch, C.A. Leatherdale M.G. Bawendi, Science, 287, 1011(2000)에 의해 설명된 바처럼, 나노결정에서 두개의 여기자의 수명은 하나의 여기자보다 적어도 크기 차수(order of magnitude) 정도 더 짧다. 이는 k2>>k1, 그리고 [C2]<<[C1]로 생각할 수 있음을 의미한다. 또한, 여기자의 수명(<ps)은 보통 광학 리소그래피에 사용되는 펄스의 지속시간(~10ns) 보다 훨씬 작기 때문에, 반응은 정상 상태로 생각할 수 있다. 그러므로, 식(8)에 의해 예측되는 것 처럼, 전자 생성 속도는 광세기에 2차적으로(quadratically) 의존한다.
등가의 이-광자 흡수 횡 단면은, 종래의 이광자 레지스트의 횡단면보다 훨씬 큰, 10-40cm4s인 것으로 나타났다(M. Haase, H. Weller, A. Henglein, J. Phys. Chem, 92, 4706(1988) 참조). 이 큰 흡착 횡단면은 이 레지스트가 훨씬 더 작은 광 세기, 즉 리소그래피 산업에서 사용되는 레이저에 의해 달성가능한 레벨에서 노광되는 것을 허용한다.
바람직한 비-제한적 구현의 예의 일측면은 리소그래피용 나노결정 기반 비-선형 레지스트를 제공하는 것이다. 도 3d에 나타낸 바 처럼, Auger 전자(QA)는 나노결정 NC의 표면에 또는 근접하게 부착되는, 산 발생제(AG)에 추가로 전달될 수 있으며, 산 발생제(AG)는 Auger 전자(QA)를 수용하고 산(AC)을 방출한다(리소그래피에서 사용되는 대부분의 종래의 광-산 발생제는 낮은 에너지의 전자와 반응하여 산을 방출할 수 있음이 나타나 있다(Atsuro Nakano, Takahiro Kozawa, Seiichi Tagawa, Tomasz Szreder, James F. Wishart, Toshiyuki Kai, 와 Tsutomu Shimokawa, Jpn. J. Appl. Phys., 45, L197-L200(2006)를 참조). 상기 산 AC는 이후에 중합 수지와 상기 비-선형 레지스트에서 반응하여 노광된 영역에 있는 상기 중합 수지의 적절한 현상 용매에서의 용해도를 변화시킨다. 뒤에 남겨진 정공(H2)은 궁극적으로 결함 레벨, 표면 레벨, 계면 레벨, 정공 포착제 또는 레지스트에 있는 임의의 기능성 화학물에 포착될 수 있다.
나노결정 기반 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은, Auger 공정은 전자 대신 정공을 배출하고, 이 경우에 산 발생제(AG)가 전자를 ES 레벨 또는 상기 나노결정에 직접 공여하며, Auger 공정에 의해 생성된 정공과 재결합하고 산을 방출하며 이 산은 상기 비-선형 레지스트에 있는 중합 수지와 반응하여 노광된 영역에 있는 상기 중합 수지의 적절한 현상 용매에서의 용해도를 변경시킬 수 있다는 것이다.
나노결정 기반 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은, 비-선형성은, 식(1)에 나타낸 것 처럼, 상기 나노결정 내부의 두개의 에너지 레벨들 사이의 이-광자 흡수의 결과라는 것이다. 여기된 전자, 또는 정공은 나노결정들 사이의 장벽을 극복할 정도의 에너지를 얻고 나노결정 밖의 도 3c에 있는 에너지 레벨 ES로 여기될 수 있다. ES는 표면 레벨, 계면 레벨, 주위 매질의 결함 레벨, 또는 계면활성제나 전자 포착제, 광-산 발생제 또는 레지스트내 다른 기능성 화학물에 의해 제공될 수 있다.
나노결정 기반 레지스트를 제공하는 비-제한의 전형적인 구현의 예의 또 다른 측면은, 도 3d에서, Auger 공정은 전자 대신 정공을 배출하고, 이 경우에 산 발생제(AG)가 전자를 상기 나노 결정의 에너지 레벨 ES로 직접 공여하며, Auger 공정에 의해 생성된 정공과 재결합하고 산을 방출하며 이 산은 상기 비-선형 레지스트에 있는 중합 수지와 반응하여 노광된 영역에 있는 상기 중합 수지의 적절한 현상 용매에서의 용해도를 변경시킬 수 있다는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 193㎚ 레지스트 폴리머, 예를 들면 다음의 코-, 터르-, 테트라- 폴리머; 터르폴리머 tetr-부틸 메트아크릴레이트, 메틸 메트아크릴레이트, 메트아크릴 산 을 포함하는 메트아크릴레이트; 코폴리머 노보넨-말레산 무수물, 코폴리머 노보넨-이산화 황을 포함하는 노보넨; 코폴리머 비닐 에테르-말레산 무수물; 및 이들의 유도체를 사용하는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 248㎚ 레지스트 폴리머, 예를 들면 다음의 코-, 터르-, 테트라- 폴리머; 폴리 4-tert-부톡시카르보닐옥시스티렌, 폴리(스티렌-코-(4-히드록시페닐)말레이미드), 폴리(스티렌-코-말레이미드), 폴리(4-히드록시스티렌 술폰), 폴리(4-히드록시-a-메틸스티렌), 폴리(tert-부톡시스티렌-코-4-아세톡시스티렌), 폴리[4-(2-히드록시헥사플루오로이소프로필)스티렌]을 포함하는 tert 부톡시카르보닐; tert-부톡시스티렌과 tert-부틸 아크릴레이트의 코폴리머; 및 이들의 유도체 그리고 분자성 유리, 예를 들면 4-[4-[1,1-비스(4-tert-부톡시카르보닐옥시벤질)-에틸]]-r,r-디메틸 벤질페놀을 사용하는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 157㎚ 레지스트 폴리머, 예를 들면, 다음을 포함하는 코-, 터르-, 테트라- 폴리머;테트라플루오로에틸렌-노보넨; 2-트리플루오로메틸아크릴레이트-노보넨; 2-트리플루오로메틸아크릴레이트-스티렌, 2-트리플루오로메틸아크릴레이트-비닐 에테르; 메트아크릴레이트; 및 이들의 유도체를 사용하는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 365㎚ 레지스트, 예를 들면 디아조나프토퀴논/노볼락 레지스트를 사용하는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 산 소멸제(acid quencher), 예를 들면 아닐린 유도체 또는 1,8 디아자비시클로[5.4.0]운덱-7-엔(1,8 diazabicyclo[5.4.0]undec-7-ene)를 사용하는 것이다.
비-선형 레지스트를 제공하는 바람직한 비-제한적 구현의 예의 또 다른 측면은 이 레지스트를 디아릴요오드늄 염의 비배타적리스트, 예를 들면, 비스(4-tert-부틸페닐)요오드늄 트리플루오로메탄 술포네이트; 트리아릴술포늄 염 예를 들면 트리페닐술포늄 헥사플루오로안티모네이트; 및 난이온성 광산 발생제, 예를 들면, 1,2,3-트리스(메탄술포닐옥시)벤젠으로부터의 광산 발생제를 함께 사용하는 것이다.
또 다른 바람직한 비-제한적 구현의 예는 또한, 리소그래피용 비-선형 레지스트를 제공하는 것이다. 비-제한적 레지스트의 구현의 전형적인 예는, 예를 들면, 반도체 나노결정 및 중합 수지를 포함한다. 상기 나노결정은 리소그래피 파장 보다 작거나 같은 밴드갭을 갖는다. 그러한 레지스트에서, 상기 반도체 나노결정은 광자 흡수시 Auger 캐리어(전자 또는 정공 둘중 하나)를 발생시키고, 상기 캐리어는 주위 폴리머에서 절단을 일으키고 노광된 영역에 있는 상기 폴리머의 현상제에서의 용해도를 변경시킬 수 있다.
또 다른 바람직한 비-제한적 구현의 예는 또한, 리소그래피용 비-선형 레지스트를 제공하는 것이다. 상기 레지스트는 반도체 나노결정 및 중합 수지를 포함하지만 이에 국한되는 것은 아니다. 상기 나노결정은 리소그래피 파장 보다 더 작거나 같은 밴드갭을 갖는다. 그러한 광-레지스트에서, 상기 반도체 나노결정은 광자 흡수시 Auger 캐리어(전자 또는 정공 둘중 하나)를 발생시키고, 상기 캐리어는 주위 폴리머에서 가교를 일으키고 노광된 영역 아래의 상기 폴리머의 현상제에서의 용해도를 변경시킬 수 있다.
나노결정 기반 레지스트를 제공하는 상기 바람직한 비-제한적 구현의 예의 하나의 측면은 상기 레지스트가 높은 굴절률을 제공할 수 있다는 것이다. 반도체 나노결정은 보통 폴리머보다 DUV 범위에서 훨씬 높은 굴절률을 갖는다. 비선형 레지스트에 나노결정을 현저히 탑재하여, 비선형 레지스트는 높은 굴절률의 레지스트로 사용될 수 있는데, 이는 액침 리소그래피(immersion lithography)와 사용될 때 추가의 해상도 혜택을 만들어낸다.
또 다른 바람직한 비-제한적 구현의 예는 EUV 리소그래피용 레지스트를 제공한다. 상기 레지스트는 반도체 나노결정을 포함한다. EUV 리소그래피는, 연질 X-선 범위에서, 13.4㎚의 파장을 갖는 광을 사용한다. 광자 에너지는 임의의 물질의 밴드갭 보다 높다. 그러한 레지스트에서, 광자는 나노결정의 구성 원자들의 코어 레벨로부터 전자를 여기시킨다. 여기된 전자는 충격 이온화(impact ionization)를 통해 복수의 여기자를 생성할 수 있다. 이러한 복수의 전자-정공 쌍에 의해 방출되는 재결합 에너지는 Auger 공정을 통해 하나 또는 복수의 전자들(또는 정공들)에 전달될 수 있다. 이러한 Auger 전자들(또는 정공들)은 나노결정과 주위 매질 사이의 에너지 장벽을 극복하는 에너지를 가진다. 상기 Auger 전자들(또는 정공들)은 나노결정을 벗어나고 노광된 영역 아래의 폴리머의 용해도를 변경시킬 수 있다.
또 다른 바람직한 비-제한적 구현의 예는 N-광자 레지스트, N≥2를 제공한다. 상기 N-광자 레지스트는 IN에 비례하는 비선형 응답을 야기하는 적어도 하나의 N-단계 반응을 포함한다. 회절 한계의 1/N과 같은 해상도가 얻어질 수 있다.
또 다른 바람직한 비-제한적 구현의 예는 N-광자 레지스트, N≥2를 제공한다. 상기 N-광자 레지스트는 반도체 나노결정을 포함한다. 도 3에서 설명된 이-광자 Auger 공정과 유사한 방식으로, N-광자 공정은 또한 나노결정에서 발생할 수 있으며, Auger 전자 또는 정공을 생성한다. 회절 한계의 1/N과 같은 해상도가 얻어질 수 있다.
또 다른 바람직한 비-제한적 구현의 예는 얽힌 광자들(entangled photons)을 채용하는 양자 간섭 리소그래피 공정용 비선형 레지스트를 제공한다. 상기 비선형 레지스트는 반도체 나노결정을 포함한다. 얽힌 광자들은 파라메트릭 하방 변환 공정에 의해 생성될 수 있다. 양자 얽힘은 모든 상기 얽힌 광자들이 N-광자 레지스트의 존재하에서 동시에 흡수되는 것을 가능하게 한다. 상기 공정의 이점은 비선형 레지스트에 보통 요구되는 높은 세기 없이도 λ/2N 해상도를 달성할 수 있다는 것이다.
모든 상기 바람직한 비-제한적 구현의 예의 하나의 측면은 높은 내식각성을 제공할 수 있다는 것이다. 보통 반도체 나노결정은 폴리머보다 훨씬 더 높은 내식각성을 갖는다. 비선형 레지스트에 나노결정을 현저히 탑재하여, 비선형 레지스트는 높은 내식각성의 레지스트로 사용될 수 있는데, 이는 폴리머 기반 레지스트에 비하여 추가의 해상도 혜택을 만들어낸다.
또 다른 바람직한 비-제한적 구현의 예는 I2 레지스트를 이용하여 기판상에 장치 및 구조를 생산하는 공정을 제공하는 것이다. 상기 I2 레지스트는 나노결정 및 중합 수지를 포함할 수 있다. 상기 나노결정은 리소그래피 파장보다 작거나 같은 밴드갭을 가진다.
또 다른 바람직한 비-제한적 구현의 예는 이중 패터닝 공정에서 I2 레지스트를 이용하여 기판상에 장치 및 구조를 생산하는 공정을 제공하는 것이다. 상기 I2 레지스트는 나노결정 및 중합 수지를 포함할 수 있다. 상기 나노결정은 리소그래피 파장보다 작거나 같은 밴드갭을 가진다. 두개의 노광은 상기 나노결정을 재설정(reset)할 정도로 긴 시기에 의해 분리될 수 있다. 두개의 노광은, 최종 레지스트 노광 프로파일의 최적 해상도를 확보하기 위해 적어도 일부 다른 예정된 노광 파라미터를 가질 수 있다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 상기 기판상의 패턴은 상기 조명광의 파장의 회절 한계 보다 더 높은 해상도를 가질 수 있다는 것이다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 상기 조명광 내의 광자를 흡수할 때 나노결정은 전자 또는 정공을 생성할 수 있다는 것이다. 파장은 365㎚, 257㎚, 248㎚, 198㎚, 193㎚, 157㎚ 및 121㎚ 중 적어도 하나를 포함할 수 있다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 상기 나노결정은 다음의 비배타적 리스트(non-exclusive list)의 재료: C, Si, Ge, MgO, MgF2, ZnO, ZnS, ZnSe, CdS, CdSe, CdTe, HgTe, PbS, BN, AlN, AlBGaN, AlP, AlAs, BP, BAs, GaN, Ga2O3, GaP, GaAs, In2O3, InP, InAs, SiC, Si3N4, CaF2, A2O3, SiO2, TiO2, Cu2O, ZrO2, SnO2, Fe2O3, HfO2, Gd2O3, CeO2, Y2O3, Au, Ag, Al, Cu 및 이들의 다양한 다형체 및 합금으로부터 선택될 수 있다; 상기 나노결정은 구형, 입방형, 봉형, 정방형, 단일 또는 다중-벽 나노-튜브 또는 다른 나노-단위의 기하학적 형상을 가질 수 있다; 그리고 입자들은 다른 원소들에 의해 도핑될 수 있다; 상기 나노결정은 다른 재료들의 하나 이상의 쉘로 피복될 수 있다; 그리고 상기 쉘 재료는 임의의 공지 재료들을 포함할 수 있다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 레지스트가 산 발생제 및 중합 수지를 포함할 수 있다는 것이다. 각각의 산 발생제는 적어도 하나의 전자(또는 정공)을 수용할 때 적어도 하나의 산을 만들 수 있다. 산은 상기 중합 수지의 현상제에서의 용해도를 추가로 변경시킬 수 있다. 레지스트는 적어도 하나의 전자(또는 정공)을 수용할 때 현상제에서의 용해도를 변경시킬 수 있는 중합 수지를 포함할 수 있다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 나노결정들이 상기 레지스트 내부의 깊이 방향으로 불균일하게 분포될 수 있다는 것이다.
기판상에 장치 및 구조를 생산하는 공정의 바람직한 비-제한적 구현의 예의 또 다른 측면은 나노결정들이 높은 내식각성 및/또는 높은 굴절률을 제공할 수 있다는 것이다.
본 발명에 있는 기술의 비-제한의 전형적인 예는 기판 상에 패턴을 만들기 위한 제조 라인을 더 제공할 수 있는데, 이 제조 라인은 나노결정을 포함하는 레지스트를 상기 기판에 적용하는 장치; 상기 레지스트를 예정된 파장의 광 패턴으로 조명하고, 상기 나노결정은 상기 레지스트를 적어도 일부 노광하기 위해 상기 광을 흡수하는 조명 원; 및 상기 노광된 레지스트를 처리하여 상기 기판상에 상기 패턴을 만드는 적어도 하나의 추가 장치를 포함한다. 처리 라인의 같거나 다른 조명기는 상기 레지스트를 복수회 조명하여 상기 레지스트를 다중으로 노광할 수 있다.
본 발명에 있는 기술의 비-제한적 전형적인 예는 기판상에 놓여진 레지스트를 조명하여 적어도 부분적으로 만들어진 패턴을 갖고, 상기 레지스트는 상기 조명의 적어도 일부를 흡수하여 상기 레지스트를 적어도 일부 노광하는 나노결정을 포함하는 것을 특징으로 하는 장치를 추가로 제공한다.
본 발명에 있는 기술의 비-제한적 전형적인 예는 또한, 적어도 하나의 표면을 갖는 기판; 및 상기 적어도 하나의 기판 표면을 적어도 일부 덮는 레지스트 층을 포함하고, 상기 레지스트 층은 조명 광에 의해 노광될 때 상기 조명 광의 적어도 일부를 흡수하여 상기 레지스트 층의 용해도를 변경시키는 나노결정을 포함하는 것을 특징으로 하는 중간 생성물을 제공한다.
본 발명에 있는 기술의 비-제한적 전형적인 예는 기판; 및 상기 기판 상에 놓인 적어도 하나의 구조를 포함하고, 상기 구조는, 조명을 흡수하여 상기 레지스트의 용해도를 변경시키는 나노결정을 포함하는 비선형 레지스트를 이용하여 적어도 일부 형성되는 것을 특징으로 하는 집적 회로를 추가로 제공한다. 구조는 트랜지스터 등의 전기 회로 구성 부품을 포함할 수 있다.
본 발명에 있는 기술의 비-제한적 전형적인 예는 기판; 및 상기 기판상에 놓이는 적어도 하나의 구조를 포함하고, 상기 구조는, 조명을 흡수하여 상기 레지스트의 용해도를 변경시키는 나노결정을 포함하는 비선형 레지스트를 이용하여 적어도 일부 형성되는 것을 특징으로 하는 광학 장치를 추가로 제공한다. 구조는 3-차원일 수 있다.
모든 이전에 기술된 바람직한 비제한적 구현의 예들의 또 다른 공통된 측면은 이 구현의 예들이 건조, 물 액침(immersion) 또는 높은 굴절률의 액침 리소그래피와 호환되고 이에서 사용되도록 의도된다는 것이다.
이러한 것들과 다른 비-제한적 특징들의 전형적인 예 및 비-제한적 구현들의 전형적인 예의 장점들은 다음의 도면과 함께 현재의 바람직한 구현예들의 다음의 상세한 설명을 참조하여 더 잘 그리고 더 완전하게 이해될 것이다:
도 1은 이 광자 흡수 공정의 종래기술의 전형적인 예이다;
도 2a, 2b는 리소그래피 해상도를 향상시키기 위해 I2 레지스트와 결합된 이중 패터닝을 이용한 종래기술의 전형적인 예를 나타낸다;
도 3a, 3b, 3c는 반도체 나노결정에서의 공정들의 종래 기술의 전형적인 예를 나타내고; 도 3d는 Auger 전자를 이용하여 산 발생제로 산을 발생시키는 전형적인 구현예를 나타낸다;
도 4a-4g는 비선형 레지스트를 이용한 이중 패터닝 공정의 전형적인 구현예를 나타낸다;
도 5a-5f는 I2 레지스트를 이용한 패터닝 공정의 전형적인 구현예를 나타낸다;
도 6a-6f는 이중 패터닝 공정에서 나노결정 기반 I2 레지스트의 재설정 시간이 어떻게 해상도에 영향을 미치는지를 설명하는 비-제한적인 실시예를 나타낸다; 그리고
도 7a, 7b 및 7c는 다중 노광 및 다중 패터닝 공정에서 I2 레지스트를 이용하여 전체 두께에 걸쳐 균일한 노광을 이루는 비-제한적인 실시예를 나타낸다;
I2 레지스트를 이용하여 트렌치(trench)를 만드는 이중 패터닝 공정의 비제한적 실시예는 도 4에 나타나 있다. 도 4a에서, 기판(SUB)을 세척하고 적절히 처리한다. 도 4b에서, 상기 I2 레지스트(RE)의 층을 상기 기판의 맨 윗면상에 가하고 예비-소성(pre-bake)을 실시하여 I2 레지스트에 있는 용매를 제거한다. 다음으로, 도 4c에서, 리소그래피 공정을 이용하는 노광을 제공하여 상기 비선형 레지스트의 원하는 면적(A1, A2)의 제1 그룹을 노광한다. 도 4d에서, 제2 노광을 제공하여 상기 I2레지스트의 원하는 면적(A3, A4)의 제2 그룹을 노광한다. 도 4e에서, I2레지스트를 현상하고 노광된 면적을 제거하고 후-소성을 수행한다. 그 다음, 도 4f에서, 식각 공정을 수행하여 노광된 면적에서 트렌치(TR1, TR2, TR3, TR4)를 만든다. 그리고 마지막으로, 도 4g에서, 레지스트의 나머지 부분을 벗겨낸다.
두개의 트렌치를 만들기 위한 공정의 비제한적 실시예는 도 5에 나타나 있다. 도 5a에서, 기판(601)을 세척하고 적절히 처리한다. 도 5b에서, 상기 비선형 레지스트의 층(602)을 기판(601)의 맨 윗면상에 가하고 예비-소성을 실시하여 비선형 레지스트에 있는 용매를 제거한다. 다음으로, 도 5c에서, 리소그래피 공정을 이용하는 노광을 제공하여 상기 비선형 레지스트의 원하는 면적(603, 604)을 노광한 다. 도 5d에서, 비선형 레지스트를 현상하고 노광된 면적을 제거하고 후-소성을 수행한다. 그 다음, 도 5e에서, 식각 공정을 수행하여 노광된 면적에서 트렌치(605, 606)를 만든다. 그리고 마지막으로, 도 5f에서, 레지스트의 나머지 부분을 벗겨낸다. 이 공정을 여러번 반복하고 에칭 공정을 이온 주입(ion implantation), 막 증착, 산화 등의 다른 공정들로 대체하여, 전자 장치 또는 다른 3-D 구조물을 제조할 수 있다.
또 다른 비제한적 실시예는, 도 6에 있는 표준화 노광 프로파일(normalized exposure profile)에 나타낸 바처럼, 개시된 I2레지스트와 이중 패터닝을 이용하여 회절이하의 패턴을 만드는 방법을 제공한다. 먼저, 상기 레지스트를 포함하는 층을 실리콘 웨이퍼상에 스핀-코팅한다. 그 다음, 상기 비선형 레지스트를 회절 한계 프린지 패턴(diffraction limited fringe pattern)으로 노광한다. 빗금친 면적(EX2A)은 이-광자 공정을 겪게될 정도로 높은 세기를 받는다. 면적 EX1A에서는, 오직 일-광자 흡수가 일어난다. 제1 조명후에, EX1A에 있는 모든 광자-생성 전자 및 정공은 재결합된다(재설정된다). EX1A가 완전하게 재설정된 후에, 도 6b에 나타낸 바 처럼, 제1 패턴에 대하여 반주기 이동한, 동일한 프린지 패턴을 갖는 제2 노광이, 도 6c에 나타낸 바처럼 가해진다. 동일한 상황이 반복되고, 면적 EX2B는 이-광자 공정을 겪는 반면에, EX1B는 오직 일-광자 흡수만 겪게된다. 이렇게, 도 6d에 나타낸 바처럼, 1/2 회절 한계의 최종 레지스트 노광 프로파일이 만들어진다.
EX1A가 완전히 재설정되기 전에, 제2 노광이 일어날 경우, EX1A의 일부 또는 전부 또한 노광되어 최종 해상도를 감소시킨다는 것에 주목해야한다. 도 6e는 EX1A가 재설정되기 전에 제2 노광이 일어나고, EX1A와 EX1B 사이의 겹치는 면적인, 면적 EXO 또한 이-광자 공정을 겪게되는 최악의 경우의 시나리오를 설명한다. 도 6f에 나타낸 최종 노광 프로파일은 평탄한 바닥을 갖는데, 이는 콘트라스트를 감소시키고 라인과 공간 사이의 비율을 변경시킨다. 이상적인 이-광자 레지스트 에 대하여, 도 6f의 프로파일은 여전히 회절-한계 이하의 해상도를 제공할 수 있다.
또 다른 비-제한적 실시예는 Ⅰ2 산 발생제에 더하여 선형 광 염기 발생제를 갖는 것을 제공한다. 레지스트에 있는 염기의 존재는 산을 중화시키는 기능을하고 레지스트의 성능을 향상시키는데 사용될 수 있다. 결과적인 산 농도는 I2-αI에 비례하게 되며, 여기서 α는 광 염기 발생제의 상대적인 감광도를 나타낸다.
개시된 레지스트를 이용하여 패턴을 만드는 또 다른 비-제한적 실시예는 다중-노광 공정에서 각각의 노광의 세기 및/또는 노광 초점을 변화시켜 상기 비선형 레지스트의 전체 두께에 걸쳐 균일한 노광 프로파일을 만드는 것이다.
리소그래피에 사용된 광자의 에너지가 나노결정의 밴드갭보다 더 크기 때문에, 상기 비선형 레지스트는 상대적으로 큰 흡광도(absorbance)를 가질 수 있다. 레지스트 층의 맨 윗면은 레지스트의 바닥면이 받는 것보다 더 큰 노광량을 가지게된다. 도 7에 나타낸 바처럼, 이러한 문제는 적어도 두개의 노광으로 이미지를 형성함으로써 극복될 수 있다. 제1 노광에서, 회절 한계 이미지(PT1)가 레지스트의 표면상에 집속되고, 레지스트의 바닥면에서의 광패턴(PB1)이 도 7a에 나타낸 바처 럼 무뎌지고 감쇄되도록 투사 시스템(projection system)의 초점 평면을 조정한다. 레지스트의 바닥면에서의 광세기가 레지스트의 노광을 무시할 수 있을 정도로 작게되도록 노광의 세기를 조정한다.
제2 노광에서, 회절 한계 이미지(PB2)가 레지스트의 바닥면상에 집속되고 표면에서의 광패턴(PT2)이 도 7b에 나타낸 바처럼 무뎌지도록 투사 시스템의 초점 평면을 조정한다. 레지스트의 표면에서의 광세기가 레지스트의 노광을 무시할 수 있을 정도로 작게되도록 노광의 세기를 조정한다. 아니면, 노광은 기존의 노광의 공간 주파수를 감소시키지 않을 정도로 거의 균일하다. 최종 노광은, 도 7c에 나타낸 바처럼, 레지스트의 맨윗면(PTF) 및 바닥면(PTB)에서 동일한 노광 프로파일을 갖는다.
또한 다중 노광을 수행하여, 미리-계산된 초점 평면 및 각각의 노광의 세기를 예정된 값으로 조정함으로써 균일한 노광을 달성할 수 있다.
나노결정을 포함하는 레지스트 층을 제공하는 또 다른 비제한적 실시예는 레지스트 층이 깊이 방향의 농도 기울기 프로파일을 갖는다는 것이다. 상기 농도 프로파일은 레지스트의 바닥면에서의 광세기가 더 작으므로 레지스트 층의 바닥면 쪽으로 보다 높은 감광도를 제공할 수 있고; 그리고 레지스트의 맨윗면이 보다 많은 광세기를 받으므로 레지스트의 맨윗면쪽으로 보다 낮은 감광도를 제공할 수 있다.
현재 가장 실제적이고 바람직한 구현으로 생각되는 것과 연관시켜 본 발명의 기술을 설명하였지만, 본 발명은 개시된 구현들에 제한되는 것이 아니라, 첨부된 청구항들의 범위 내에 포함되는 다양한 변형 및 동등한 구성들을 포함하도록 의도 된 것이라는 점을 이해해야만 한다.

Claims (38)

  1. 적어도 하나의 메트릭스 물질; 및
    상기 메트릭스 물질에 의해 운반되는 적어도 하나의 광활성 물질을 포함하고, 상기 광활성 물질은 적어도 하나의 다-단계 반응에서 화학 종을 생산하며, 상기 화학종은 상기 레지스트의 용해도를 변경시키며,
    상기 화학 종 생산은 광의 세기에 비-선형적으로 의존하는 것을 특징으로 하는 레지스트.
  2. 제1항에 있어서, 상기 광활성 물질은 나노결정을 포함하는 것을 특징으로 하는 레지스트.
  3. 제1항에 있어서, 상기 광활성 물질은 반도체를 포함하는 것을 특징으로 하는 레지스트.
  4. 제1항에 있어서, 상기 광활성 물질은 적어도 하나의 유기 분자를 포함하는 것을 특징으로 하는 레지스트.
  5. 제1항에 있어서, 상기 광활성 물질은 적어도 하나의 무기 분자를 포함하는 것을 특징으로 하는 레지스트.
  6. 제1항에 있어서, 산 발생제를 더 포함하는 것을 특징으로 하는 레지스트.
  7. 제1항에 있어서, 상기 산 발생제는 광의 세기에 2차적으로 의존하는 방식으로 산을 형성하는 것을 특징으로 하는 레지스트.
  8. 제1항에 있어서, 상기 레지스트의 상기 용해도는 적어도 하나의 다-단계 반응을 통해 광에 의해 유도된 쇄절단에 의해 변경되며, 상기 쇄절단은 광의 세기에 2차적으로 의존하는 것을 특징으로 하는 레지스트.
  9. 제1항에 있어서, 상기 레지스트의 상기 용해도는 적어도 하나의 다-단계 반응을 통해 광에 의해 유도된 가교에 의해 변경되며, 상기 가교는 광의 세기에 2차적으로 의존하는 것을 특징으로 하는 레지스트.
  10. 제1항에 있어서, 상기 다-단계 반응은 중간의 여기 상태를 형성하는 것을 포함하는 것을 특징으로 하는 레지스트.
  11. 제1항에 있어서, 상기 다-단계 반응은 제1 중간 산물을 형성하는 제1 단계 및 제2 중간 산물을 형성하는 제2 단계를 포함하며, 상기 제2 중간 산물은 단독으로 또는 상기 제1 중간 산물과 결합하여 상기 용해도의 변화에 이르는 것을 특징으 로 하는 레지스트.
  12. 제1항에 있어서, 상기 메트릭스 물질은 폴리머 또는 분자성 유리를 포함하는 것을 특징으로 하는 레지스트.
  13. 제1항에 있어서, 상기 레지스트는 해상도 및 라인 에지 거칠기를 향상시키기 위한 첨가제를 포함하는 것을 특징으로 하는 레지스트.
  14. 제13항에 있어서, 상기 첨가제는 광-생성 산을 중화시키는 염기를 포함하는 것을 특징으로 하는 레지스트.
  15. 제1항에 있어서, 상기 화학 종은 현상제의 존재하에 상기 용해도를 변경시키는 것을 특징으로 하는 레지스트.
  16. 제1항에 있어서, 시간상으로 분리된 복수의 조명에 응답하는 것을 더 포함하는 것을 특징으로 하는 레지스트.
  17. 제1항에 있어서, 상기 레지스트는 액침 리소그래피에서의 사용을 위한 것을 특징으로 하는 레지스트.
  18. 레지스트를 노광하는 방법으로서,
    상기 레지스트의 적어도 일부를 광원으로 조명하는 단계;
    상기 레지스트에서 상기 조명 광의 세기에 2차적으로 의존하는 방식으로, 적어도 하나의 다-단계 반응을 기초로 적어도 하나의 화학종 생산물을 유도하는 단계; 및
    상기 레지스트의 용해도를 상기 화학종 생산물을 가지고 변경시키는 단계를 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  19. 제18항에 있어서, 상기 레지스트는 나노결정 광민감성 물질을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  20. 제18항에 있어서, 상기 레지스트는 반도체 광민감성 물질을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  21. 제18항에 있어서, 상기 레지스트는 적어도 하나의 유기 분자 광민감성 물질을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  22. 제18항에 있어서, 상기 레지스트는 적어도 하나의 무기 분자 광민감성 물질을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  23. 제18항에 있어서, 상기 레지스트는 산 중화제를 포함할 수 있는 것을 특징으로 하는 레지스트를 노광하는 방법.
  24. 제18항에 있어서, 상기 조명하는 단계에 대응하여 상기 레지스트에서 산을 발생시키는 것을 더 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  25. 제24항에 있어서, 상기 산 발생은 광의 세기에 2차적으로 의존하는 방식으로 산을 형성하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  26. 제18항에 있어서, 상기 변경시키는 단계는 상기 레지스트의 상기 용해도를 적어도 하나의 다-단계 반응을 통해 광에 의해 유도된 쇄절단에 의해 변경시키는 것을 포함하며, 상기 쇄절단은 광의 세기에 2차적으로 의존하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  27. 제18항에 있어서, 상기 변경시키는 단계는 상기 레지스트의 상기 용해도를 적어도 하나의 다-단계 반응을 통해 광에 의해 유도된 가교에 의해 변경시는 것을 포함하며, 상기 가교는 광의 세기에 2차적으로 의존하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  28. 제18항에 있어서, 상기 다-단계 반응은 중간의 여기된 상태를 형성하는 것을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  29. 제18항에 있어서, 상기 다-단계 반응은 제1 중간 산물을 형성하는 제1 단계 및 제2 중간 산물을 형성하는 제2 단계를 포함하며, 상기 제2 중간 산물은 단독으로 및/또는 상기 제1 중간 산물과 결합하여 상기 용해도의 변화에 이르는 것을 특징으로 하는 레지스트를 노광하는 방법.
  30. 제18항에 있어서, 상기 조명 단계는 157㎚, 193㎚, 248㎚, 257㎚, 198㎚, 121㎚ 및 365㎚의 광 파장중 적어도 하나로 조명하는 것을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  31. 제18항에 있어서, 상기 조명 단계는 13.4㎚ 광으로 조명하는 것을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  32. 제18항에 있어서, 상기 변경 단계는 현상제의 존재하 용해도를 변경시키는 것을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  33. 제18항에 있어서, 상기 조명 단계는 상기 레지스트를 제1 시간 주기 동안 조명하고, 대기한 다음 상기 레지스트를 제2 시간 주기 동안 조명하는 것을 더 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  34. 제18항에 있어서, 상기 조명 단계는 상기 레지스트를 중간의 대기 시간에 의해 분리되는 복수 회 조명하는 것을 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  35. 제18항에 있어서, 액침 리소그래피를 이용하여 상기 레지스트를 노광하는 것을 더 포함하는 것을 특징으로 하는 레지스트를 노광하는 방법.
  36. 기판상에 레지스트를 형성하는 단계;
    상기 레지스트의 적어도 일부를 광원으로 조명하는 단계;
    상기 레지스트에서 상기 조명 광의 세기에 2차적으로 의존하는 방식으로, 적어도 하나의 다-단계 반응을 기초로 적어도 하나의 화학종 생산물을 유도하는 단계;
    상기 레지스트의 용해도를 상기 화학종 생산물을 가지고 변경시키는 단계;및
    상기 변경된 용해도의 레지스트를 추가로 처리하여 상기 기판상에 적어도 하나의 구조물을 제조하는 단계를 포함하는 것을 특징으로 하는 물품의 제조 방법.
  37. 기판; 및
    상기 기판상에 형성되는 적어도 하나의 구조를 포함하고, 상기 적어도 하나의 구조는, 상기 레지스트의 적어도 일부를 광원으로 조명하는 단계; 상기 레지스 트에서 상기 조명광의 세기에 2차적으로 의존하는 방식으로, 적어도 하나의 다-단계 반응을 기초로 적어도 하나의 화학 종 생산물을 유도하는 단계; 상기 레지스트의 용해도를 상기 화학 종 생산물을 가지고 변경시키는 단계; 및 상기 변경된 용해도의 레지스트를 추가 처리하는 단계에 의해 적어도 일부 형성되는 것을 특징으로 하는 집적 회로.
  38. 기판; 및
    상기 기판상에 형성되는 적어도 하나의 광학 구조를 포함하고, 상기 적어도 하나의 광학 구조는, 상기 레지스트의 적어도 일부를 광원으로 조명하는 단계; 상기 레지스트에서 상기 조명광의 세기에 2차적으로 의존하는 방식으로, 적어도 하나의 다-단계 반응을 기초로 적어도 하나의 화학 종 생산물을 유도하는 단계; 상기 레지스트의 용해도를 상기 화학종 생산물을 가지고 변경시키는 단계; 및 상기 변경된 용해도의 레지스트를 추가 처리하는 단계에 의해 적어도 일부 형성되는 것을 특징으로 하는 광학 장치.
KR1020097002626A 2006-07-10 2007-07-06 포토리소그래피용 레지스트 KR20090025389A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80687706P 2006-07-10 2006-07-10
US60/806,877 2006-07-10
US87079506P 2006-12-19 2006-12-19
US60/870,795 2006-12-19

Publications (1)

Publication Number Publication Date
KR20090025389A true KR20090025389A (ko) 2009-03-10

Family

ID=38923800

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097002626A KR20090025389A (ko) 2006-07-10 2007-07-06 포토리소그래피용 레지스트

Country Status (5)

Country Link
US (3) US8383316B2 (ko)
EP (1) EP2047332A4 (ko)
JP (1) JP2009543159A (ko)
KR (1) KR20090025389A (ko)
WO (1) WO2008008275A2 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006053074B4 (de) * 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8134684B2 (en) * 2008-02-22 2012-03-13 Sematech, Inc. Immersion lithography using hafnium-based nanoparticles
US8848974B2 (en) * 2008-09-29 2014-09-30 Restoration Robotics, Inc. Object-tracking systems and methods
US8697346B2 (en) * 2010-04-01 2014-04-15 The Regents Of The University Of Colorado Diffraction unlimited photolithography
CN102135728B (zh) * 2011-02-23 2012-07-25 大连理工大学 基于一维软模板纳米压印制作三维纳米网格结构的方法
JP6065665B2 (ja) * 2013-03-11 2017-01-25 Jsr株式会社 感放射線性樹脂組成物、硬化膜、発光表示素子および発光層の形成方法
JP6094406B2 (ja) * 2013-07-11 2017-03-15 Jsr株式会社 感放射線性樹脂組成物、硬化膜、発光素子、波長変換フィルムおよび発光層の形成方法
JP6171923B2 (ja) * 2013-12-24 2017-08-02 Jsr株式会社 硬化性樹脂組成物、硬化膜、発光素子、波長変換フィルムおよび発光層の形成方法
JP6427876B2 (ja) * 2013-12-27 2018-11-28 Jsr株式会社 感放射線性樹脂組成物、硬化膜、発光素子および発光層の形成方法
JP6171927B2 (ja) * 2013-12-25 2017-08-02 Jsr株式会社 感放射線性樹脂組成物、硬化膜、発光素子および発光層の形成方法
KR102324819B1 (ko) 2014-12-12 2021-11-11 삼성전자주식회사 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
US11720017B2 (en) 2017-04-18 2023-08-08 The University Of Chicago Photoactive, inorganic ligand-capped inorganic nanocrystals
KR102500132B1 (ko) * 2020-12-01 2023-02-14 한양대학교 산학협력단 유기용매를 이용한 고분자 콜로이드 결정 단층막의 제조방법
CN113820923A (zh) * 2021-09-16 2021-12-21 西湖大学 纳米晶薄膜的无交联剂、无光刻胶的直接光致图案化方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253728A (en) * 1979-07-23 1981-03-03 Bell Telephone Laboratories, Incorporated Multimode electrically switched optical port
JPS61187237A (ja) * 1985-02-14 1986-08-20 Toshiba Corp パタ−ン形成方法
FR2655434B1 (fr) * 1989-12-05 1992-02-28 Thomson Csf Dispositif optique a puits quantiques et procede de realisation.
CA2061796C (en) * 1991-03-28 2002-12-24 Kalluri R. Sarma High mobility integrated drivers for active matrix displays
JP3644041B2 (ja) 1993-02-03 2005-04-27 株式会社ニコン 露光方法及び露光装置
US5739898A (en) * 1993-02-03 1998-04-14 Nikon Corporation Exposure method and apparatus
US6267913B1 (en) * 1996-11-12 2001-07-31 California Institute Of Technology Two-photon or higher-order absorbing optical materials and methods of use
JP3766165B2 (ja) * 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
US6291110B1 (en) * 1997-06-27 2001-09-18 Pixelligent Technologies Llc Methods for transferring a two-dimensional programmable exposure pattern for photolithography
US6005707A (en) * 1997-11-21 1999-12-21 Lucent Technologies Inc. Optical devices comprising polymer-dispersed crystalline materials
DE19823732A1 (de) 1998-05-27 1999-12-02 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung optischer Mehrschichtsysteme
JP2001057329A (ja) 1999-08-18 2001-02-27 Toshiba Corp 超解像露光フィルターおよびパターン形成方法
JP2001067723A (ja) * 1999-08-25 2001-03-16 Toshiba Corp 光記録媒体、光記録再生方法及び光記録再生装置
US6783914B1 (en) * 2000-02-25 2004-08-31 Massachusetts Institute Of Technology Encapsulated inorganic resists
US6440637B1 (en) * 2000-06-28 2002-08-27 The Aerospace Corporation Electron beam lithography method forming nanocrystal shadowmasks and nanometer etch masks
JP3914386B2 (ja) * 2000-12-28 2007-05-16 株式会社ルネサステクノロジ フォトマスク、その製造方法、パターン形成方法および半導体装置の製造方法
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
US20030129545A1 (en) * 2001-06-29 2003-07-10 Kik Pieter G Method and apparatus for use of plasmon printing in near-field lithography
DE10135114A1 (de) 2001-07-19 2003-02-13 Univ Muenchen L Maximilians Elektrisch steuerbarer Lichtmodulator mit einer Materialzusammensetzung basierend auf in elektrooptischen Medien eingebetteten Nanopartikeln
US6819845B2 (en) * 2001-08-02 2004-11-16 Ultradots, Inc. Optical devices with engineered nonlinear nanocomposite materials
US8119041B2 (en) * 2001-09-05 2012-02-21 Fujifilm Corporation Non-resonant two-photon absorption induction method and process for emitting light thereby
WO2003038518A1 (en) * 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
WO2003044597A1 (en) * 2001-11-19 2003-05-30 Pixelligent Technologies Llc Method and apparatus for exposing photoresists using programmable masks
US6806026B2 (en) * 2002-05-31 2004-10-19 International Business Machines Corporation Photoresist composition
GB0224529D0 (en) * 2002-10-22 2002-11-27 Isis Innovation Improvements in or relating to multiple exposures of photosensitive material
WO2004053938A2 (en) * 2002-12-09 2004-06-24 Pixelligent Technologies Llc Programmable photolithographic mask based on nano-sized semiconductor particles
US7524616B2 (en) * 2003-03-04 2009-04-28 Pixelligent Technologies Llc Applications of semiconductor nano-sized particles for photolithography
US20050147920A1 (en) * 2003-12-30 2005-07-07 Chia-Hui Lin Method and system for immersion lithography
US20050161644A1 (en) * 2004-01-23 2005-07-28 Peng Zhang Immersion lithography fluids
US20050254035A1 (en) * 2004-05-11 2005-11-17 Chromaplex, Inc. Multi-photon lithography

Also Published As

Publication number Publication date
US20080176166A1 (en) 2008-07-24
US20130136897A1 (en) 2013-05-30
US20150185616A1 (en) 2015-07-02
EP2047332A2 (en) 2009-04-15
WO2008008275A3 (en) 2008-08-14
JP2009543159A (ja) 2009-12-03
WO2008008275A2 (en) 2008-01-17
EP2047332A4 (en) 2009-11-18
US8383316B2 (en) 2013-02-26

Similar Documents

Publication Publication Date Title
KR20090025389A (ko) 포토리소그래피용 레지스트
Wallraff et al. Lithographic imaging techniques for the formation of nanoscopic features
Robinson et al. Materials and processes for next generation lithography
KR101037484B1 (ko) 마이크로전자 기판 상의 패턴 형성 방법, 패턴 피처를 배로증가시키는 방법 및 패턴 피치를 감소시키는 방법
KR20100102189A (ko) 멀티 노광 포토리소그래피 방법들 및 포토레지스트 조성물들
KR100943402B1 (ko) 갭 결함을 가진 리소그래픽 템플릿을 형성하고 수리하는 방법
US6225019B1 (en) Photosensitive resin, resist based on the photosensitive resin, exposure apparatus and exposure method using the resist, and semiconductor device obtained by the exposure method
Lyubin et al. Novel effects in inorganic As 50 Se 50 photoresists and their application in micro-optics
US8492079B2 (en) Method of forming a pattern of an array of shapes including a blocked region
JPH07113773B2 (ja) パタ−ン形成方法
US20080145796A1 (en) Method of photolithographic exposure
KR101698661B1 (ko) 감광성 재료의 제조 및 이용 방법
Thackeray et al. Advances in low diffusion EUV resists
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
Rothschild et al. 193-nm lithography
JP2002006478A (ja) 露光用マスクとその製造方法
JP2553545B2 (ja) パタ−ン形成方法
Rathore New material chemistry exploration for Extreme Ultraviolet Lithography
JP2004045969A (ja) パターン形成材料及びパターン形成方法
Ravirajan CHAIN SCISSIONABLE POLYMER BASED PHOTORESIST FOR EUV (EXTREME ULTRAVIOLET) LITHOGRAPHY
Kunz et al. Resist processes for ArF excimer laser lithography
KR100802229B1 (ko) 반도체 소자의 패턴 형성 방법
Reichmanis et al. Challenges in lithographic materials and processes
Zhao Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography
Brown Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application