KR20210007862A - 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법 - Google Patents

포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법 Download PDF

Info

Publication number
KR20210007862A
KR20210007862A KR1020200082590A KR20200082590A KR20210007862A KR 20210007862 A KR20210007862 A KR 20210007862A KR 1020200082590 A KR1020200082590 A KR 1020200082590A KR 20200082590 A KR20200082590 A KR 20200082590A KR 20210007862 A KR20210007862 A KR 20210007862A
Authority
KR
South Korea
Prior art keywords
photoresist
lower layer
layer
surface energy
plasma
Prior art date
Application number
KR1020200082590A
Other languages
English (en)
Inventor
이팅 선
로에스트 다비드 드
다니엘 피우미
이보 요한네스 라이마케르스
김복헌
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210007862A publication Critical patent/KR20210007862A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03CPHOTOSENSITIVE MATERIALS FOR PHOTOGRAPHIC PURPOSES; PHOTOGRAPHIC PROCESSES, e.g. CINE, X-RAY, COLOUR, STEREO-PHOTOGRAPHIC PROCESSES; AUXILIARY PROCESSES IN PHOTOGRAPHY
    • G03C1/00Photosensitive materials
    • G03C1/74Applying photosensitive compositions to the base; Drying processes therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

포토레지스트 하부층을 포함한 구조체, 및 포토레지스트 하부층을 포함한 구조체를 형성하는 방법이 개시된다. 예시적인 방법은, 플라즈마-강화 주기적(예, 원자층) 증착 및 플라즈마-강화 화학 기상 증착 중 하나 이상을 사용하여 포토레지스트 하부층을 형성하는 단계를 포함한다. 포토레지스트 하부층의 표면 특성은 처리 공정을 사용하여 조작될 수 있다.

Description

포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법{STRUCTURE INCLUDING A PHOTORESIST UNDERLAYER AND METHOD OF FORMING SAME}
본 개시는 일반적으로 구조체, 및 상기 구조체를 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 포토레지스트 하부층을 포함하는 구조체, 및 이러한 구조체를 형성하는 방법에 관한 것이다.
전자 소자의 제조 중에, 기판 표면을 패터닝하고, 예를 들어 기상 에칭 공정을 이용하여 기판 표면으로부터 재료를 에칭함으로써, 미세 패턴의 특징부가 기판의 표면 상에 형성될 수 있다. 기판 상의 소자 밀도가 증가함에 따라, 더 작은 치수를 갖는 특징부를 형성하는 것이 점점 더 바람직하다.
포토레지스트는 에칭에 앞서 기판의 표면을 패터닝하는 데 자주 사용된다. 기판 표면에 포토레지스트 층을 도포하고, 포토레지스트의 표면을 마스킹하고, 포토레지스트의 비 마스킹 부분을 자외선 광과 같은 복사선에 노광시키고, 포토레지스트의 일부(예, 비 마스킹 또는 마스킹 부분)를 제거하면서 포토레지스트의 일부를 기판 표면 상에 남기는 것에 의해서, 포토레지스트에 패턴을 형성할 수 있다.
최근에, 극자외선(EUV) 파장을 사용하여 비교적 작은 패턴 특징부(예, 10 nm 이하)를 갖는 패턴을 현상하기 위한 기술이 개발되었다. EUV를 사용하여 기판 상에 특징부를 형성하기 위해, 스핀-온 글라스(SOG)의 포토레지스트 하부층이 일반적으로 기판의 표면 상에 증착되고, 그런 다음 EUV 포토레지스트층이 SOG 하부층 위에 증착된다. 통상적으로, 하부층은 약 10 nm 이상의 두께를 갖는다.
소자의 특징부 크기가 감소함에 따라, 하부층은, 원하는 피치 해상도 및/또는 종횡비를 갖는, 에칭된 특징부의 형성을 허용하도록 바람직하게는 더 얇을 수 있다. 불행하게도, 10 nm 미만의 두께로 SOG를 증착하는 것이 어려울 수 있다. 또한, 증착된 SOG 두께의 기판 내 및 기판 대 기판의 불균일성은, 특히 SOG 층의 목표 두께가 감소함에 따라 바람직하지 않게 높을 수 있다. 적어도 이들 이유로, 포토레지스트 하부층, 예컨대 EUV와 함께 사용하기에 적합한 하부층을 포함한 개선된 구조체, 및 이러한 구조체를 형성하는 방법이 요구된다.
이 부분에 진술된 문제점 및 해결책에 대한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌다는 것을 인정하는 것으로 받아들여져서는 안 된다.
종래 기술의 문서가 다음에 기록되어 있다: De Silva, A.; Dutta, A.; Meli, L.; Yao, Y.; Mignot, Y.; Guo, J.; Felix, N. Inorganic Hardmask Development For Extreme Ultraviolet Patterning. Journal of Micro/Nanolithography, MEMS, and MOEMS 2018, 18, 1; Felix, N.; Singh, L.; Seshadri, I.; Silva, A.; Meli, L.; Liu, C.; Chi, C.; Guo, J.; Truang, H.; Schmidt, K. et al. Ultrathin Extreme Ultraviolet Patterning Stack Using Polymer Brush As An Adhesion Promotion Layer. Journal of Micro/Nanolithography, MEMS, 및 MOEMS 2017, 16, 1; Tatehaba, Y. Adhesion energy of polystyrene in function water, In 5th International Symposium of Cleaning Technology in Semiconductor Device Manufacturing; 1998; pp. 560-565; Fallica, R.; Stowers, J.; Grenville, A.; Frommhold, A.; Robinson, A.; Ekinci, Y. Dynamic Absorption Coefficients Of Chemically Amplified Resists And Nonchemically Amplified Resists At Extreme Ultraviolet. Journal of Micro/Nanolithography, MEMS, MOEMS 2016, 15, 033506.
본 개시의 다양한 구현예는, 개선된 포토레지스트 하부층(때로는 접착층이라고도 지칭됨)을 포함한 구조체, 및 상기 층과 구조체를 형성하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전의 방법 및 구조의 결점을 해결하는 방법이 이하에서 더욱 상세히 설명되는 동안, 본 개시의 다양한 구현예는 원하는 특성, 예컨대 원하는 에칭 선택도, 패턴 품질, 및/또는 안정성을 갖는 비교적 얇고 균일한 포토레지스트 하부층을 포함할 수 있는 구조체를 제공한다.
본 개시의 적어도 하나의 구현예에 따라, 구조체를 형성하는 방법은, 기판을 제공하는 단계, 상기 기판의 표면 위에 놓인 포토레지스트 하부층을 형성하는 단계, 및 상기 포토레지스트 하부층 위에 놓인 포토레지스트층을 형성하는 단계를 포함한다. 포토레지스트 하부층은, 플라즈마 강화 주기적(예, 원자층) 증착 및 플라즈마 강화 화학 기상 증착 중 하나 이상을 사용하여 형성될 수 있다. 포토레지스트 하부층은 10 nm 미만 또는 5 nm 미만의 두께를 가질 수 있다. 포토레지스트층은 극자외선(EUV) 리소그래피 포토레지스트일 수 있거나 이를 포함할 수 있다. 포토레지스트 하부층을 형성하는 단계는, 산소, 실리콘, 수소, 및 적어도 하나의 유기기를 포함한 전구체를 제공하는 단계를 포함할 수 있다. 예를 들어, 전구체는 적어도 하나의 실리콘-산소 결합을 포함할 수 있다. 추가적으로 또는 대안적으로, 전구체는 적어도 하나의 실리콘-R 결합을 포함할 수 있으며, 여기서 R은, 예를 들어 알킬(예, 메틸기), 알케닐, 알키닐, 아릴, 알콕시(예, -OCH3, -OCH2CH3), 실릴, 할로겐 및 수소로 이루어진 군 중 하나 이상으로부터 선택된다. 일부 경우에, 전구체는 적어도 두 개의 유기기 또는 적어도 하나의 유기기, 및 적어도 하나의 할로겐을 포함한다. 특정 예로서, 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산, 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS) 중 하나 이상을 포함할 수 있다. 디- 트리- 또는 테트라실란 골격이 있는 전구체는, 특히 박막에 대해 유익할 수도 있다. 포토레지스트 하부층의 형성 동안 사용된 공동 반응물은, Ar, He, O2, CO2, CO, H2, N2O, H2O, N2및 NH3로 이루어진 군 중 하나 이상으로부터 선택될 수 있다. 포토레지스트 하부층을 형성하는 단계 동안, 반응 공간 내 온도는, 예를 들어, 약 20℃ 및 약 200℃ 또는 약 150℃ 내지 약 350℃일 수 있다. 일부 경우에 포토레지스트 하부층은 플라즈마의 형성을 포함한 증착후 처리 단계에 노출될 수 있다. 이들 경우, 플라즈마 처리 단계는 직접식 및/또는 원격식 플라즈마를 사용하여 활성화된 종에 포토레지스트 하부층을 노출시키는 단계를 포함할 수 있다. 플라즈마 처리 단계는 H2, O2, CO2, CO, N2O, NF3, 및 CxHyFz (여기서, x>=1, y>=0, 및 z>=0) 중 하나 이상을 포함한 가스로부터 활성화된 종을 생성하는 단계를 포함할 수 있다.
본 개시 구현예의 예시적인 양태에 따라, 포토레지스트 하부층은 원하는 특성, 예컨대 표면 에너지 특성을 갖도록 선택 및/또는 제형화될 수 있다. 예를 들어, 예시적인 방법은 표면 에너지 성분, 즉 포토레지스트 및/또는 포토레지스트 하부층의 표면 에너지 극성 부분 및/또는 표면 에너지의 분산성 부분을 결정하는 단계를 포함할 수 있다. 원하는 하부층 특성은, 포토레지스트의 유형(예, 네가 또는 포지 EUV 포토레지스트) 및/또는 포토레지스트의 표면 에너지 특성에 의존할 수 있다. 예로서, 포토레지스트가 포지 포토레지스트인 경우, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 약 0 내지 약 15 mN/m일 수 있다. 포토레지스트가 네가 포토레지스트인 경우, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 포토레지스트 표면 에너지의 극성 부분의 값의 약 -20% 내지 약 +20% 내에 있을 수 있다.
본 개시의 적어도 하나의 다른 구현예에 따라, 구조체는 포토레지스트 하부층을 포함한다. 포토레지스트 하부층은, 본원에서 설명하는 바와 같은 방법을 사용하여 형성될 수 있다. 포토레지스트 하부층은, 예를 들어 SiOCNH와 같은 SiOCH를 포함하는 층을 포함할 수 있다. 포토레지스트 하부층은, 본원에 기술된 표면 에너지 특성을 가질 수 있다. 예시적인 구조체는 포토레지스트층, 예컨대 네가 또는 포지 EUV 포토레지스트를 또한 포함할 수 있다.
구조체를 형성하는 방법이 추가로 기술된다. 상기 방법은, 기판을 제공하는 단계; 기판의 표면 위에 놓인 포토레지스트 하부층을 형성하는 단계; 및 포토레지스트 하부층 위에 놓인 포토레지스트층을 형성하는 단계를 포함한다. 포토레지스트 하부층은 플라즈마 강화 원자층 증착 및 플라즈마 강화 화학 기상 증착 중 하나 이상을 사용하여 형성된다.
일부 구현예에서, 포토레지스트층은 극자외선(EUV) 리소그래피 포토레지스트를 포함한다.
일부 구현예에서, 포토레지스트 하부층을 형성하는 단계는, 산소, 실리콘, 수소, 및 적어도 하나의 유기기를 포함한 전구체를 제공하는 단계를 포함한다.
일부 구현예에서, 전구체는 적어도 하나의 실리콘-산소 결합을 포함한다.
일부 구현예에서, 전구체는 적어도 하나의 실리콘-R 결합을 포함하며, 여기서 R은 알킬, 알케닐, 알키닐, 아릴, 알콕시, 할로겐 및 수소로 이루어진 군 중 하나 이상으로부터 선택된다.
일부 구현예에서, 적어도 하나의 유기기는 적어도 두 개의 유기기를 포함한다.
일부 구현예에서, 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산, 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS)로 이루어진 군으로부터 선택된 하나 이상을 포함한다.
일부 구현예에서, 포토레지스트 하부층을 형성하는 단계는, 반응물, 및 다음으로 이루어진 군으로부터의 하나 이상으로부터 선택된 공동 반응물 중 하나 이상을 제공하는 단계를 포함한다: Ar, He, O2, CO2, CO, H2, N2O, H2O, N2, NH3.
일부 구현예에서, 방법은 포토레지스트 하부층의 표면 에너지의 극성 부분을 결정하는 단계를 포함한다.
일부 구현예에서, 포토레지스트층은 포지 포토레지스트를 포함하고, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 약 0 내지 약 15 mN/m이다.
일부 구현예에서, 포토레지스트층은 네가 포토레지스트를 포함하고, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 포토레지스트층의 표면 에너지의 극성 부분의 값의 약 -20% 내지 약 +20% 내에 있다.
일부 구현예에서, 본 방법은 포토레지스트 하부층의 표면 에너지의 분산성 부분을 결정하는 단계를 추가로 포함한다.
일부 구현예에서, 포토레지스트 하부층의 두께는 5 nm 미만이다.
일부 구현예에서, 포토레지스트 하부층을 형성하는 단계 동안 반응 공간 내 온도는 약 20℃ 내지 약 200℃이다.
일부 구현예에서, 포토레지스트 하부층을 형성하는 단계 동안 반응 공간 내 온도는 약 150℃ 내지 약 350℃이다.
일부 구현예에서, 상기 방법은 포토레지스트 하부층을 플라즈마 처리 단계에 노출시키는 단계를 추가로 포함한다.
일부 구현예에서, 플라즈마 처리 단계는 직접식 플라즈마를 사용하여 활성화된 종에 포토레지스트 하부층을 노출시키는 단계를 포함한다.
일부 구현예에서, 플라즈마 처리 단계는 원격식 플라즈마를 사용하여 활성화된 종에 포토레지스트 하부층을 노출시키는 단계를 포함한다.
일부 구현예에서, 플라즈마 처리 단계는 H2, O2, CO2, CO, N2O, NF3, CxHyFz (x>=1,y>=0,z>=0) 중 하나 이상으로부터 활성화된 종을 형성하는 단계를 포함한다.
본원에서 설명된 방법에 따라 형성된 구조체가 본원에서 더 설명된다.
일부 구현예에서, 포토레지스트 하부층은 SiOC를 포함한다.
일부 구현예에서, 포토레지스트 하부층은 SiOCN을 포함한다.
일부 구현예에서, 포토레지스트층은 포지 포토레지스트를 포함하고, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 약 0 내지 약 15 mN/m이다.
일부 구현예에서, 포토레지스트층은 네가 포토레지스트를 포함하고, 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 포토레지스트층의 표면 에너지의 극성 부분의 값의 약 -20% 내지 약 +20%이다.
포토레지스트 증착 단계에 대한 전처리를 적용하기 위한 방법이 본원에 더 설명되고, 상기 방법은 기판을 반응 챔버에 제공하는 단계; 및 상기 기판 상에 하부층을 증착하는 단계를 포함한다. 하부층은 5 nm 미만의 두께를 가질 수 있다. 하부층은 극성 부분 및 분산성 부분을 갖는 표면 에너지를 가질 수 있고, 여기서 표면 에너지의 극성 부분은 적어도 3 mN/m 내지 최대 13 mN/m이다.
일부 구현예에서, 표면 에너지의 분산성 부분은 적어도 26 mN/m 내지 최대 31 mN/m이다.
일부 구현예에서, 표면 에너지의 분산성 및 극성 부분은 Owens, Wendt, Rabel 및 Kaelble(OWRK) 방법에 의해 결정된다.
일부 구현예에서, 하부층은 실리콘, 산소 및 탄소를 포함한다. 일부 구현예에서, 하부층은 실리콘, 산소, 수소 및 탄소를 포함한다.
일부 구현예에서, 하부층은 질소를 추가로 포함한다.
일부 구현예에서, 상기 방법은 상기 하부층을 플라즈마에 노출시키는 단계를 추가로 포함하며, 상기 플라즈마는 염소, 산소, 수소, 및 질소로 이루어진 목록으로부터 선택된 하나 이상의 원소를 포함한다.
일부 구현예에서, 하부층이 플라즈마에 노출되고 있는 동안, 실리콘, 수소, 및 적어도 하나의 유기기를 포함한 전구체는 반응 챔버에 제공된다.
일부 구현예에서, 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS)로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 전구체는 펄스로 제공된다.
일부 구현예에서, 플라즈마는 귀 가스를 추가로 포함한다.
일부 구현예에서, 하부층은, 상위 하부층 및 하위 하부층을 포함한 이층 구조체를 포함하고, 하위 하부층 부분은 금속 또는 준금속을 포함한다.
본원에 기술된 포토레지스트 증착 단계에 대한 전처리를 적용하기 위한 방법을 수행하도록 구성된 하나 이상의 반응 챔버를 포함하는 시스템이 더 설명된다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1 내지 도 6은 본 개시의 적어도 하나의 구현예에 따라 포지 포토레지스트를 사용하여 형성된 구조체를 나타낸다.
도 7 내지 도 12는 본 개시의 적어도 하나의 구현예에 따라 네가 포토레지스트를 사용하여 형성된 구조체를 나타낸다.
도 13은 본 개시의 적어도 하나의 구현예에 따라 구조체를 형성하는 방법을 나타낸다.
도 14는 본 개시의 적어도 하나의 구현예에 따른 하부층을 나타낸다. 도 14에 걸쳐, 하기 번호는 1410 - 기판; 1420 - 하부층; 1421 - 하위 하부층 부분; 1422 - 상위 하부층 부분으로 고정된다.
도 15는 본 개시의 적어도 하나의 구현예에 따른 방법의 펄스화 체계를 나타낸다. 도 15에 걸쳐, 하기 번호는 1501 - 플라즈마 전력; 1502 - 플라즈마 가스 흐름; 1503 - 전구체 흐름; 1504 - 시간선으로 고정된다.
도 16은, 패널 a)에서 하부층의 표면 에너지의 극성 부분의 함수로서 전체 현상을 위한 EUV 조사량; 패널 b)에서 현상된 하부층-레지스트 적층체로, 이의 하부층은 표면 에너지의 극성 부분이 비교적 낮아 불완전한 현상을 특징으로 하고; 패널 c)에서 현상된 하부층-레지스트 적층체로, 이의 하부층은 표면 에너지의 극성 부분이 비교적 높아 개선된 현상을 초래하는 것을 나타낸다.
도면의 구성 요소들은 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로 포토레지스트 하부층을 포함한 구조체를 형성하는 방법, 및 포토레지스트 하부층을 포함한 구조체에 관한 것이다. 이하에서 더욱 상세히 설명되는 바와 같이, 예시적인 방법은 원하는 특성, 예컨대 원하는 두께(예, 10 또는 5 nm 미만), 비교적 낮은 표면 거칠기, 포토레지스트에 대한 양호한 부착성, 원하는 에칭 선택도, 원하는 두께 균일성-기판 내(예, 웨이퍼) 및 기판 사이, 고 패턴 품질(낮은 수의 결함 및 고 패턴 신뢰성), 저 라인 폭 거칠기(LWR), EUV 리소그래피 프로세싱 동안-예를 들어 노광후 베이킹 공정(PEB) 동안의 안정성, 포토레지스트 현상, 기판 재가공, 합리적인 EUV 민감도, 및 집적 호환성(즉, 하부층 증착 조건 하에서 밑에 있는 다른 층은 손상 입지 말아야 함-예를 들어. 너무 높은 증착 온도에서 손상되지 않음)을 제공하는 포토레지스트 하부층을 갖는 구조를 형성하기 위해 사용될 수 있다. 상기 포토레지스트 하부층은, 레지스트에 대해 선택적으로 에칭되는 가능성을 추가로 제공할 수 있다. 상기 포토레지스트 하부층은, 본원에서 설명된 바와 같은 하부층에 대해 선택적으로 에칭될 수 있는, 스핀-온 카본(SOC), 탄소 하드마스크(CHM) 또는 비정질 탄소(APF) 층과 같은 층과 조합하여 추가로 사용될 수 있다. 상기 하부층은 레지스트 베이킹 단계를 추가로 포함할 수 있고, 테트라메틸암모늄 하이드록사이드(TMAH)과 같은 현상액에 노출되는 경우, 낮거나 심지어 무시할 수 있는 에칭 속도를 가질 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 라인 등을 포함할 수 있다.
일부 구현예에서, "막"은 두께 방향에 수직한 방향으로 연장되어 전체 타켓 또는 관심 표면을 커버하는 층, 또는 단순히 타켓 또는 관심 표면을 커버하는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 구조체를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어를 지칭한다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다. 본원에서 제시되는 백분율은, 달리 언급하지 않는 한 절대 백분율이다.
이제 도면으로 돌아가면, 도 1은 본 개시의 예시적인 구현예에 따른 구조체(100)를 나타낸다. 구조체(100)는 기판(102), 재료층(104), 포토레지스트 하부층(106), 및 포지 EUV 포토레지스트층(108)을 포함한다.
기판(102)은, 전술한 바와 같은 기판을 포함할 수 있다. 예로서, 기판(102)은 반도체 기판, 예컨대 실리콘(예, 단결정 실리콘), 다른 IV족 반도체 재료, III-V족 반도체 재료, 및/또는 II-VI족 반도체 재료를 포함할 수 있고, 벌크 재료 위에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 전술한 바와 같이, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 토폴로지, 예컨대 오목부, 라인 등을 포함할 수 있다.
재료층(104)은 선택적이다. 포함되는 경우, 재료층(104)은, 예를 들어 자연스런 옥사이드, 비정질 탄소, 또는 필드 옥사이드와 같은 옥사이드를 포함할 수 있다. 다른 예시적인 층은 나이트라이드, 다른 옥사이드, 실리콘, 및 부가물 막(예, 자기 조립 단층(예, 헥사메틸디실라잔(HMDS))을 포함한다. 재료층(104)은, 본원에 기술된 바와 같은 플라즈마 처리 및/또는 건식 및/또는 습식 에칭 공정을 거칠 수 있다.
포토레지스트 하부층(106)은, 본원에 기술된 방법에 따라 형성된 포토레지스트 하부층을 포함할 수 있고/있거나 본원에 기술된 특성을 갖는다. 포토레지스트 하부층의 두께는 에칭될 재료층(104)의 두께(또는 기판(102)의 두께), 포토레지스트의 유형 등에 의존할 수 있다. 본 개시의 실시예에 따라, 포토레지스트 하부층(106)은 10 nm 미만 또는 약 5 nm 미만의 두께를 갖는다. 일부 구현예에서, 포토레지스트 하부층은 적어도 1.0 nm 내지 최대 2.0 nm, 또는 적어도 2.0 nm 내지 최대 5.0 nm, 또는 적어도 5.0 nm 내지 최대 10.0 nm의 두께를 갖는다. 포토레지스트 하부층(106)이 너무 두꺼우면, 잔류 하부층 재료는 도 4 및 도 5와 관련하여 설명된 에칭 단계 후에 남을 수 있다. 포토레지스트 하부층(106)이 너무 얇으면, 포토레지스트 하부층(106)은 에칭 공정 동안 원하는 패턴 전사를 제공하지 않을 수 있다. 이러함에도, 본원에 개시된 하부층은, 비록 매우 얇더라도 우수한 패턴 전사를 제공할 수 있다. 포토레지스트 하부층(106)의 표면은, 예를 들어 포토레지스트층(108)과의 부착을 촉진하기 위해 원하는 표면 종결부를 제공하도록 플라즈마 처리를 이용해 처리될 수 있거나 처리되지 않을 수 있다. 대안적으로 일부 구현예에서, 플라즈마 처리는 포토레지스트층(108)과의 부착을 감소하기 위해 사용될 수 있는데, 왜냐하면 과도한 부착이 바람직하지 않은 경향이 있고, 이는 노출 영역에서 기판 상에 레지스트 잔류물을 남기기 때문이고, 이 효과를 그을음이라고 한다.
특정 하부층인 경우 그을음을 일으킬 수 있는 하나의 메커니즘은, 전자 공여자 기(즉, 루이스 염기로서 작용하는 작용기)의 발현이다. 이들 전자 공여자는 EUV 노광 후 레지스트에서 포토-산 발생기에 의해 생성된 산을 중화시킬 수 있고, 하부층 근처의 레지스트를 완전히 현상시키지 않는다. 이러한 바람직하지 않은 효과는 하부층 중독이라 칭할 수 있다. 추가적인 플라즈마 처리 단계를 이용해, 추가적인 결합 및/또는 작용기는, 하부층과 포토레지스트 사이의 부착을 감소시키기 위해 도입될 수 있어서, 상기 레지스트를 "덜 부착"되도록 하며, 포토레지스트와 하부층 간의 부착이 너무 강한 경우에 현상 후 불충분한 레지스트 제거를 초래할 수 있는 전술한 하부층 중독의 가능한 효과를 보충한다.
일부 구현예에서, 하부층은 비정질 탄소, 옥타데실트리클로로실란 및 디메틸디메톡시실란으로 이루어지는 목록으로부터 선택된 재료를 포함한다.
본 개시의 예시에 따라, 포토레지스트 하부층(106)은 SiOC를 포함한다. 본원에서 사용되는 바와 같이, 달리 언급되지 않는 한, SiOC는, 예를 들어 임의의 Si, O, C 및/또는 막 내의 임의의 다른 원소의 산화 상태와 같은 화학 상태 또는 결합을 제한, 제약 또는 정의하려고 의도하지 않는다. 또한, 일부 구현예에서 SiOC 박막은 Si, O 및/또는 C 이외에 H 또는 N과 같이 하나 이상의 원소를 포함할 수 있다. 일부 구현예에서 SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및 Si-O 결합을 포함할 수 있고, Si-N 결합은 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합 이외에 Si-H 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합보다 많은 Si-O 결합을 포함할 수 있고, 예를 들어 Si-C 결합에 대한 Si-O의 비는 약 1:10 내지 약 10:1일 수 있다. 일부 구현예에서 SiOC는 원자 기준으로 약 0% 내지 약 50%의 탄소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 탄소를 포함할 수 있다. 일부 구현예에서 SiOC 막은 원자 기준으로 약 0% 내지 약 70%의 산소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10% 내지 약 70%, 약 15% 내지 약 50%, 약 20% 내지 약 40%의 산소를 포함할 수 있다. 일부 구현예에서 SiOC 막은 원자 기준으로 약 0% 내지 약 50%의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10% 내지 약 50%, 약 15% 내지 약 40%, 또는 약 20% 내지 약 35%의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 수소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 질소를 포함하지 않을 수 있다. 일부 다른 구현예에서 SiOC 막은 원자 기준(원자%)으로 약 0% 내지 약 40%의 질소를 포함할 수 있다. 특정 예로서, SiOC 막은, SiOCNH와 같은 SiOCH를 포함하는 층일 수 있거나 이를 포함할 수 있다. 유리하게는, SiOC 막은 비다공성일 수 있다.
포토레지스트 하부층(106)은 바람직하게는 본원에서 설명된 바와 같은 양호한 부착 및 다른 특성을 나타낸다. 포토레지스트층(108)과 포토레지스트 하부층(106) 사이의 원하는 부착을 제공하기 위해, 포토레지스트 하부층(106)은 원하는 표면 화학 특성을 가질 수 있거나 갖도록 조정될 수 있고, 예를 들어 표면 에너지로 정량화될 수 있고, 이는 표면 에너지의 극성 부분 및 표면 에너지의 분산성 부분으로 더 구분된다. 포토레지스트 하부층(106)의 표면 에너지의 극성 부분 및 표면 에너지의 분산성 부분은 액체, 예컨대 물 또는 CH2I2의 접촉각을 측정함으로써 계산될 수 있고, Owens, Wendt, Rabel 및 Kaelble(OWRK) 방법을 사용하여 표면 에너지의 분산성 부분과 극성 부분을 결정한다. 동일한 특성이 포토레지스트층(108)에 대해 측정되고 계산될 수 있다.
일부 경우에, 포지 포토레지스트에 대해, 포토레지스트 하부층(106)의 표면 에너지의 극성 부분은 약 0 내지 약 15 mN/m일 수 있다. 추가 구현예에 따라, 포토레지스트 하부층(106)의 표면 에너지의 극성 부분은, 포토레지스트의 표면 에너지의 극성 부분 값의 약 -100% 내지 약 +60% 내이다. 포토레지스트의 하부층의 분산성 부분은 20 내지 35 mN/m의 범위일 수 있다.
도 2는 구조체(200)를 나타내고, 이는 구조체(100) 위에 마스크를 제공하는 단계, 및 마스킹된 구조체를 극자외선 광(예, 약 13.3 내지 13.7 nm 사이의 파장을 갖는 광)에 노광시켜 노광된 구조체(202) 및 미노광 구조체(204)를 형성하는 단계에 의해, 형성될 수 있다.
도 3은, 노광된 구조체(202)를 제거하기 위한 현상 공정 이후의 구조체(300)를 나타낸다. 구조체(202)의 제거 이후, 에칭 공정이, 포토레지스트 하부층(106) 및 재료층(104)의 섹션을 제거해서 도 4 및 도 5에 나타낸 구조체(400 및 500)를 형성하도록 수행될 수 있다. 구조체(400)는 특징부(402)를 포함하고, 이는 포토레지스트 재료(섹션 404) 및 포토레지스트 하부층 재료(섹션 406)를 포함할 수 있다. 구조체(500)는, 재료층(104) 재료(섹션(504)) 및 포토레지스트 하부층 재료(섹션 506), 및 일부 경우에 포토레지스트 재료를 포함하는 특징부(502)를 포함한다. 도 6은, 포토레지스트 하부층 및/또는 포토레지스트가 구조체(500)로부터 제거된 이후의 구조체(602)를 포함한 구조체(600)를 나타낸다.
포토레지스트층(108)은, 화학적으로 증폭된 레지스트(CAR)와 같은 임의의 적합한 포지 EUV 포토레지스트 재료일 수 있거나 이를 포함할 수 있다. 예로서, 포토레지스트층(108)은 폴리(4-히드록시스티렌)(PHS), 스티렌 유도체 및 아크릴레이트 공중합체이거나 이를 포함한다. 포토-산 발생기(예, 트리페닐술포늄 트리플레이트) 및 염기 ??처(아민 또는 콘주게이트된 염기)가 포토레지스트층(108)에 또한 존재할 수 있다.
도 7 내지 도 12는 네가 포토레지스트에 대한 유사한 구조체를 나타낸다. 도 7은 기판(702), 재료층(704), 포토레지스트 하부층(706), 및 네가 EUV 포토레지스트층(708)을 포함하는 구조체(700)를 나타낸다.
기판(702) 및 재료층(704)은 전술한 기판(102) 및 재료층(104)과 동일하거나 유사할 수 있다.
포토레지스트 하부층(106)과 유사하게, 포토레지스트 하부층(706)은 본원에 기술된 방법에 따라 형성되고/형성되거나 본원에 기술된 특성을 갖는 포토레지스트 하부층을 포함할 수 있다. 포토레지스트 하부층(706)의 두께는, 에칭될 두께 재료층(704), 포토레지스트 유형 등에 의존할 수 있다. 본 개시의 실시예에 따라, 포토레지스트 하부층(706)은 10 nm 미만 또는 약 5 nm와 같은 두께를 갖는다.
포토레지스트 하부층(706)은, 포토레지스트 하부층(106)과 연결하여 전술한 동일 또는 유사 재료로 형성될 수 있다. 포토레지스트 하부층(706) 표면은, 후술하는 바와 같이 플라즈마 처리로 바람직하게 처리될 수 있어서, 네가 포토레지스트층(708)과의 부착을 촉진하기 위해 원하는 표면 종결부를 제공한다. 대안적으로, 일부 구현예에서, 플라즈마 처리는 네가 포토레지스트층과의 부착을 감소시키기 위해 사용될 수 있다. 본 개시의 예에 따라, 포토레지스트 하부층(706)은 -CHX, Si-H 및/또는 OH 종결기를 포함한 표면을 포함하고, 상기 기의 비율은, 표적 포토레지스트를 이용해 원하는 표면 화학 특성 또는 패턴 프로파일을 달성하기 위해 미세하게 조정될 수 있다.
포토레지스트 하부층(706)은 또한 바람직하게 본원에 기술된 바와 같이 원하는 부착성 및 다른 특성을 나타낸다. 포토레지스트층(708)과 포토레지스트 하부층(706) 사이에 원하는 부착성을 제공하기 위해, 포토레지스트 하부층(706)은 원하는 표면 에너지 특성, 즉 표면 에너지의 극성 부분과 표면 에너지의 분산성 부분을 가질 수 있거나 갖도록 조정될 수 있다. 포토레지스트 하부층(706)의 표면 에너지의 극성 부분 및 표면 에너지의 분산성 부분은 액체, 예컨대 물 또는 CH2I2의 접촉각을 측정함으로써 계산될 수 있고, Owens, Wendt, Rabel 및 Kaelble(OWRK) 방법을 사용하여 표면 에너지의 분산성 부분과 극성 부분을 결정한다. 동일한 특성이 포토레지스트층(708)에 대해 측정되고 계산될 수 있다. 본 개시의 다양한 실시예에 따라, 포토레지스트 하부층(706)의 표면 에너지의 극성 부분은, 포토레지스트층(708)의 표면 에너지의 각각의 극성 부분의 약 -20% 내지 약 +20% 또는 약 -10% 내지 약 +10% 이내이다. 포토레지스트의 하부층의 분산성 부분은, 예를 들어 20 내지 35 mN/m일 수 있다.
포토레지스트층(708)은 임의의 적합한 네가 EUV 포토레지스트(예, CAR) 재료일 수 있거나 이를 포함할 수 있다. 예로서, 포토레지스트층(708)은 폴리(4-히드록시스티렌)(PHS), 스티렌 유도체 및 아크릴레이트 공중합체이거나 이를 포함한다. 포토-산 발생기(예, 트리페닐술포늄 트리플레이트) 및 염기 ??처(아민 또는 콘주게이트된 염기)가 포토레지스트층(708)에 또한 존재할 수 있다.
도 8 내지 도 12는 네가 포토레지스트 층(708)이 포지 포토레지스트(108)보다 사용되는 점을 제외하고, 도 2 내지 도 6에 나타낸 유사한 단계를 나타낸다. 도 8은 구조체(800)를 나타내며, 이는 구조체(700) 위에 마스크를 제공하는 단계, 및 마스킹된 구조체를 EUV에 노광시켜 노광된 구조(802) 및 미노광 구조(804)를 형성하는 단계에 의해 형성될 수 있다. 도 9는, 미노광 구조체(804)를 제거하기 위한 현상 공정 이후의 구조체(900)를 나타낸다. 구조체(804)의 제거 이후, 에칭 공정이, 포토레지스트 하부층(706) 및 재료층(704)의 섹션을 제거하여 도 10 및 도 11에 나타낸 구조체(1000 및 1100)를 형성하도록 수행될 수 있다. 구조체(1000)는 특징부(1002)를 포함하고, 이는 포토레지스트 재료(섹션 1004) 및 포토레지스트 하부층 재료(섹션 1006)를 포함할 수 있다. 구조체(1100)는, 재료층(704) 재료(섹션(1104)) 및 포토레지스트 하부층 재료(섹션(1106)), 및 일부 경우에 포토레지스트 재료를 포함하는 특징부(1102)를 포함한다. 도 12는, 포토레지스트 하부층 및/또는 포토레지스트가 구조체(1100)로부터 제거된 이후의 구조체(1202)를 포함한 구조체(1200)를 나타낸다.
이제 도 13을 참조하면, 본 개시의 적어도 하나의 구현예에 따른 구조체를 형성하는 방법(1300)을 나타낸다. 방법(1300)은 기판을 제공하는 단계(1302), 포토레지스트 하부층을 형성하는 단계(1304), 처리 단계(1306), 및 포토레지스트층을 형성하는 단계(1308)를 포함한다. 일부 경우에, 처리 단계(1306)는 선택적일 수 있다.
단계(1302)는 본원에 기술된 기판과 같은 기판을 제공하는 단계를 포함할 수 있다. 기판은, 패턴 전사를 위해 전술한 재료층과 같은 층을 포함할 수 있다. 예로서, 기판은 증착된 옥사이드, 자연적인 옥사이드, 또는 비정질 탄소층을 포함할 수 있다.
단계(1304) 동안, 포토레지스트 하부층은 플라즈마 강화 원자층 증착(PEALD), 및 플라즈마 강화 화학 기상 증착(PECVD) 같은 플라즈마 강화 주기적 증착 공정 중 하나 이상을 사용하여 형성될 수 있다. 용어 "주기적 증착 공정"은 반응 챔버 내로 전구체(반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착 및 주기적 화학 기상 증착과 같은 처리 기술을 포함한다. 용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 공동 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 공동 반응물은 전구체와 더 반응할 수 있다. 또한, 각각의 사이클 중에 퍼지 단계도 사용할 수 있어, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 화학 흡착된 전구체의 전환 이후 공정 챔버로부터 과잉의 공동 반응물 및/또는 반응 부산물을 제거할 수 있다. 추가로, 본원에서 사용된 용어 원자층 증착은 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
단계(1304) 동안, 플라즈마 강화 주기적(예, PEALD) 또는 PECVD 중 하나 이상을 사용하면, 원하는 두께-예를 들어 10 nm 미만 또는 약 5 nm 이하를 갖는 포토레지스트 하부층을 형성시키며, 기판 내 및 기판 대 기판 모두에서 두께 균일성이 개선된다. 또한, 플라즈마 보조 공정을 사용하면 비교적 낮은 온도에서-예를 들어, 500℃ 미만, 400℃ 미만 또는 약 100℃ 내지 약 500℃ 또는 약 150℃ 내지 약 450℃에서 포토레지스트 하부층 재료의 증착을 허용한다. 반응 챔버 내 압력은 약 1 내지 약 30, 약 3 내지 약 23, 또는 약 5 내지 약 20 토르일 수 있다.
일부 경우에, PEALD는 PECVD보다 바람직할 수 있는데, PEALD는 증착된 재료의 두께, 거칠기, 및 균일성을 더 양호하게 제어할 수 있는데, 이는 예를 들어 5 nm 이하의 두께를 갖는 포토레지스트 하부층에 중요할 수 있다. 5 nm 이하의 두께를 갖는 하부층은, 예를 들어 낮은 에칭 예산과 호환성 때문에 바람직할 수 있다. 그리고 PEALD 공정은 유사한 PECVD 공정에 비해 낮은 온도에서 수행될 수 있다.
일부 구현예에서, 용어 "PEALD"는 하나 이상의 시약이 펄스로, 즉 간헐적으로 제공되는 주기적 증착 공정을 지칭할 수 있음을 유의한다. PEALD 공정의 단계는 반드시 자기 제한적일 필요는 없을 수 있다. 그러나 일부 구현예에서, PEALD 공정은 하나 이상의 자기 제한 단계를 포함한다. 선택적으로, PEALD 공정의 모든 단계는 자기 제한적이다. 주기적 PECVD 공정의 예는, 하나의 시약이 반응 챔버에 연속적으로 제공되는 동안 다른 시약이 복수의 펄스로 반응 챔버에 제공되는 플라즈마 보조 공정을 포함한다.
단계(1304)는 반응기에서 수행될 수 있다. 단계(1304)를 수행하기 적합한 예시적인 반응기는, ASM International NV(네덜란드 알메르)로부터 상업적으로 이용 가능하다.
단계(1304)를 위해, 본원에서 자주 반응물로도 지칭되는 전구체는 산소, 실리콘, 수소, 및 적어도 하나의 유기기를 포함할 수 있다. 이들 예에 따라, 전구체는 적어도 하나의 실리콘-산소 결합을 포함할 수 있다. 추가적으로 또는 대안적으로, 전구체는 적어도 하나의 실리콘-R 결합을 포함하며, 여기서 R은 알킬, 알케닐, 알키닐, 아릴, 알콕시, 할로겐 및 수소로 이루어진 군 중 하나 이상으로부터 선택된다. 일부 경우에, 적어도 하나의 유기기는 적어도 두 개의 유기기를 포함한다.
특정 예로서, 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산, 옥타데실트리클로로실란(OTS), 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS) 중 하나 이상을 포함할 수 있다. 다른 예시적 전구체는 트리메틸실란아세틸렌(TMSA), 비스(트리메틸실릴)아세틸렌(BTMSA), 프로파길트리메틸실란(PTMS), 프로파길옥시트리메틸실란(POTMS), 1,3-디에티닐테트라메틸디실록산(DTDS), 디메틸메톡시실란아세틸렌(DMMOSA), 메틸디메톡시실란아세틸렌(MDMOSA), 디메틸에톡시실란아세틸렌(DMEOSA), 메틸디에톡시실란아세틸렌(MDEOSA), 에틸디에톡시실란아세틸렌(EDEOSA), 디메틸실란-디아세틸렌(DMSDA), 메틸실란-트리아세틸렌(MSTA), 테트라아세틸렌 실란(TAS), 테트라에톡시실란(TEOS), 테트라메톡시실란, 디메틸에톡시실란, 메톡시트리메틸실란, 메틸트리메톡시실란, 테트라메톡시실란, 메톡시디메틸비닐실란, 트리메톡시비닐실란, 에톡시트리메틸실란, 에틸트리메톡시실란, 에톡시디메틸비닐실란, 디에톡시디메틸실란, 디에톡시메틸비닐실란, 메틸트리에톡시실란, 디에톡시디비닐실란, 트리에톡시비닐실란, 디에톡시디에틸실란, 에틸트리에톡시실란, 테트라에톡시실란, 디메틸디프로폭시실란, 헥실트리메톡시실란, 헥실옥시트리메틸실란, 펜틸트리에톡시실란, 1,1,3,3-테트라메틸디실록산, 펜타메틸디실록산, 헥사메틸디실록산, 1,3-디비닐-1,1,3,3-테트라메틸디실록산, 헥사에틸디실록산, 1,3-디부틸-1,1,3,3-테트라메틸디실록산, 헥사메틸시클로트리실록산, 1,1,3,3,5,5-헥사메틸트리실록산, 헥사에틸시클로트리실록산, 1,3,5-트리메틸-1,3,5-트리비닐시클로트리실록산, 1,3,5,7-테트라메틸-1,3,5,7-테트라비닐시클로테트라실록산, 1,3,5,7-테트라에톡시-1,3,5,7-테트라메틸시클로테트라실록산, 1,3,5,7,9-펜타메틸시클로펜타실록산, 데카메틸시클로펜타실록산, 1,3,5,7,9-펜타에톡시-1,3,5,7,9-펜타메틸시클로펜타실록산, 도데카메틸시클록헥사실록산, 기타 메틸기를 갖는 실란 함유 전구체 등 중 하나 이상을 포함할 수 있다.
PECVD 또는 플라즈마 강화 주기적 증착(예, PEALD) 공정에서 사용되는 반응물(또는 공동 반응물)은 다음으로 이루어진 군 중 하나 이상을 포함할 수 있다: Ar, He, O2, CO2, CO, H2, N2O, H2O, N2, NH3. PEALD와 같은 주기적 공정의 경우, 반응물은 전구체로부터 별도의 펄스로 제공된다. PECVD의 경우, 전구체 및 공동 반응물은 반응 공간 내로 공동 유입될 수 있다.
단계(1306)는, 포토레지스트 하부층의 표면을 조정하여 포토레지스트 하부층의 표면을 변형시키기 위해 사용될 수 있다. 예를 들어, C-H 종결 표면으로 시작하는 경우, 표면 에너지의 분산성 부분은, 예를 들어 C-F 종결기를 생성함으로써 감소될 수 있고, (예를, 들어 하나 이상의 할로겐 함유 가스로부터 발생된 활성화된 종을 사용하여) 추가 C-Cl 종결기를 생성함으로써 증가될 수 있고, 극성 부분은 다수의 C-O 종결기를 증가시킴으로써 증가될 수 있다. 예를 들어, C-Cl 종결기는, 염소-함유 플라즈마, 예컨대 Cl2를 포함한 가스 혼합물로부터 형성되는 플라즈마를 인가하여 얻을 수 있다. 예를 들어, C-F 종결기는, 불소-함유 플라즈마, 예컨대 CF4를 포함한 가스 혼합물로부터 형성되는 플라즈마를 인가하여 얻을 수 있다. 예를 들어, 오존 처리법을 사용하여 포토레지스트 하부층의 표면 에너지의 극성 부분을 증가시킬 수 있다. 오존 처리법은 또한 하부층 표면에서 탄소-산소 함유 기의 양을 증가시킬 수 있다. 예를 들어, UV 복사선 및 오존 처리법을 사용하여 포토레지스트 하부층의 표면 에너지의 극성 부분을 증가시킬 수 있다. 예를 들어, 수소 함유 플라즈마, 즉 H2를 포함한 플라즈마 가스를 이용한 플라즈마 처리법을 사용하여 C-H 표면 종결부의 양을 증가시킬 수 있다. 예를 들어, 질소 함유 플라즈마 처리법을 사용하여 C-N 표면 종결부의 양을 증가시킬 수 있다. 일부 구현예에서, 처리 단계(1306)는 전술한 복수의 종을 포함한 플라즈마를 사용하여 수행될 수 있고, 따라서 전술한 표면 종결부를 다양하게 포함한 표면을 생성할 수 있다. 사용되는 경우, 처리 단계(1306)는, 단계(1304)를 수행하는 데 사용된 동일한 반응 챔버에서 수행될 수 있다. 전술한 플라즈마 처리는 포토레지스트 하부층의 표면 에너지를 제어하기 위한 효과적인 수단이며, 추가적으로 플라즈마 처리 동안 에칭 속도 및/또는 두께 손실의 최소화와 같은 다양한 이점을 제공할 수 있음을 이해해야 한다.
처리 단계(1306)는, 네가 EUV 포토레지스트층과 함께 사용하기에 특히 바람직할 수 있다. 일부 경우에, 네가 포토레지스트에 대해, 소수성 표면을 처리하여 표면을 더욱 친수성이 되게 하는 것이 바람직할 수 있다. 이 경우, 포토레지스트 하부층의 표면은, H2, O2, CO2, CO, N2O, NF3, CxHyFz (x>=1,y>=0,z>=0)로 이루어진 군으로부터 선택된 하나 이상의 가스로부터 적어도 부분적으로 형성된 직접식 또는 원격식 플라즈마로부터 생성될 수 있는 활성화된 종으로 처리될 수 있다. 포지 포토레지스트의 경우, 포토레지스트 하부층의 표면은, 예를 들어 H2, O2, CO2, CO, N2O, NF3, CxHyFz (x>=1,y>=0,z>=0)로 이루어진 군으로부터 선택된 하나 이상의 가스로부터 적어도 부분적으로 형성된 직접식 또는 원격식 플라즈마로부터 생성될 수 있는 활성화된 종으로 처리될 수 있다. 그러나, 일부 경우에, 포지 포토레지스트가 사용되는 경우, 포토레지스트 하부층에 표면 처리가 수행되지 않을 수 있다. 단계(1306) 중에 인가된 플라즈마 전력은, 직접식 플라즈마의 경우 약 50 내지 약 350 W, 원격식 플라즈마의 경우 약 500 내지 약 2500 W의 범위일 수 있다.
도 14에 나타낸 바와 같이, 본원에서 설명하는 바와 같은 하부층(1420)은 상위 하부층 부분(1422) 및 하위 하부층 부분(1421)을 포함한 이층 구조체를 포함할 수 있다. 하위 하부층 부분(1421)은 금속 또는 준금속을 포함할 수 있다. 적절한 금속은 Ti, V, Cr, Mv, Fe, Co, Ni, Cu, Zr, Mo, Ru, Hf, Ta, 및 W를 포함할 수 있다. 적절한 준금속은 B, Si, Ge, As, Sb, 및 Te를 포함할 수 있다. 하위 하부층 부분(1421)은, 예를 들어 에칭 선택도를 제공하는 층으로서 사용될 수 있다. 상위 하부층 부분(1422)은, 예를 들어 부착층 및/또는 현상액 차단층으로서, 즉 하부층을 현상액에 대해 보호하는 층으로서 사용될 수 있다. 따라서, 도 14의 하부층은, 에칭 저항성 하부층 부분(1421), 및 부착성과 현상액 차단성의 상위 하부층 부분(1422)을 사용함으로써, 양호한 부착성 및 에칭 저항성을 동시 제공한다. 하위 하부층 부분(1421) 및 상위 하부층 부분(1422)은 하나의 동일한 반응기 챔버에서 증착될 수 있다. 대안적으로, 하위 하부층 부분(1421) 및 상위 하부층 부분(1422)은 상이한 반응기 챔버에서 증착될 수 있다. 상이한 반응기 챔버는 바람직하게 단일 클러스터 툴에 포함되어 있으며, 클러스터 툴은 두 개 이상의 반응기 챔버, 및 하나의 반응기 챔버로부터 다른 하나의 반응기 챔버로 기판을 이송하도록 배열된 웨이퍼 이송 모듈을 포함한다.
일부 구현예에서, 무기 하드마스크는 하위 하부층 부분(1421)으로서 사용될 수 있다. 무기 하드마스크는, 예를 들어 열적 원자층 증착(ALD) 또는 플라즈마 강화 원자층 증착(PEALD)에 의해 증착될 수 있다. 일부 구현예에서, 무기 하드마스크는 실리콘 옥사이드, 알루미늄 옥사이드, 및 티타늄 옥사이드로 이루어진 목록으로부터 선택된 재료를 포함한다. 일부 구현예에서, 무기 하드마스크는 B, Si, Ge, As, Sb, 또는 Te와 같은 준금속을 포함한다. 적절한 준금속 함유층은 SiO2 및 SiOC를 포함한다. 일부 구현예에서, 무기 하드마스크는 금속, 예컨대 Ti, Sr, Zn, Al, Ta, 및 Sn로 이루어진 목록으로부터 선택되는 금속 옥사이드 및/또는 나이트라이드를 포함한다.
일부 구현예에서, 하위 하부층 부분(1421)은 하나 이상의 도펀트를 포함한다. 적합한 도펀트는 Cd, Ag, In, Sb, Sn, I, Te, 및 Cs를 포함한다. 본 발명이 이론이나 임의의 특정 작동 모드에 구속되지 않는다면, EUV 광에 대한 그들의 민감성, 즉 극자외선 포톤을 위한 그들의 큰 원자 단면으로 인해, 이들이 조사량 대 크기를 증가시킨다고 여겨진다.
일부 구현예에서, 저-유전율 재료는 상위 하부층 부분(1422)으로서 사용될 수 있다. 적절한 저-유전율 재료는, 본원에 개시된 SiOCH 및 SiOCNH를 포함한 SiOC 막 또는 층을 포함한다. 비다공성 저-유전율 재료는 특히 적합할 수 있다. 일부 구현예에서, 비정질 탄소는 상위 하부층 부분(1422)으로서 사용될 수 있다.
일부 구현예에서, 상위 하부층 부분(1422)은 관능화된 표면(1423)을 포함한다. 관능화된 표면은, 예를 들어 H, CH3, 및/또는 OH 작용기를 포함할 수 있다. 이들 표면 작용기는, 예를 들어 본원에 기술된 플라즈마 처리에 의해 적용될 수 있다. 추가적으로 또는 대안적으로, 표면 작용기는 자기 조립 단층(SAM)에 의해 적용될 수 있다.
나타내지 않았지만, 본 개시에 따른 방법은 포토레지스트 하부층 및/또는 포토레지스트층의 표면 에너지 특성을 결정하는 단계를 포함할 수 있다. 예를 들어, 방법은, 포토레지스트층 및 포토레지스트 하부층 중 하나 이상의 표면 에너지의 극성 부분 및 분산성 부분 중 하나 이상을 결정하는 단계를 포함할 수 있다. 방법은, 포토레지스트 층의 표면 에너지의 분산성 부분 및 극성 부분 중 하나 이상에 기초하여 포토레지스트 하부층 재료를 선택하는 단계, 및/또는 포토레지스트 하부층의 표면 에너지의 하나 이상의 분산성 부분 및 극성 부분을 조정하는 단계-예를 들어, 본원에 설명된 플라즈마 처리 공정을 사용하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 이러한 하부층은 주기적 증착 공정에 의해 증착될 수 있다. 이러한 주기적 증착 공정은 특히 SiOC 함유층의 증착에 적합할 수 있다. 적절하게는, 주기적 증착 공정은 플라즈마를 사용한다. 플라즈마 전력 및 플라즈마 가스 흐름은, 주기적 증착 공정 전체에 걸쳐 소정의 수준으로 적절히 유지될 수 있다. 적합한 플라즈마 가스는 He, Ar, H2, 및 이들의 혼합물을 포함한다. 주기적 증착 공정에서, 하나 이상의 전구체는 펄스로, 즉 간헐적으로 제공된다. 적절한 전구체는 본원의 다른 곳에서 언급된 전구체를 포함한다. 펄스는 적어도 0.01초 내지 최대 2.0초, 또는 적어도 0.02초 내지 최대 1.0초, 또는 적어도 0.05초 내지 최대 0.5초, 또는 적어도 0.1초 내지 최대 0.2초 동안 지속될 수 있다. 특히 적합한 전구체는 디메틸디메톡시 실란, 디메틸디에톡시 실란; 옥타메틸사이클로테트라실록산; 및 3-(메톡시)프로필트리메톡시실란을 포함한다. 이러한 전구체를 사용하면 SiOC층이 증착될 수 있다. 사용된 플라즈마 전력은, 예를 들어 적어도 20 W에서 최대 200 W까지, 또는 적어도 30 W에서 최대 150 W까지, 또는 적어도 50 W에서 최대 100 W일 수 있다. 이러한 펄스 증착 공정은, 적어도 0.5 nm 내지 최대 5.0 nm, 또는 적어도 1.0 nm 내지 최대 2.0 nm의 두께를 갖는 막과 같이, 매우 얇은 막을 균일하게 성장시킬 수 있다. 또한, 막은 매우 양호한 균일성, 예를 들어 3 시그마(즉, 두께 표준 편차의 3배)를 2 nm 미만으로 제공한다. 또한, 증착 공정은 입자, 예를 들어 50개 미만으로 입자를 거의 생성하지 않는다. 또한, 공정은 하부층의 표면 에너지를, 예를 들어 표면 에너지의 분산성 부분을 20 내지 40 mN/m 범위로, 표면 에너지의 극성 부분을 0 내지 40 mN/m 범위로 용이하게 제어시킬 수 있다. 또한, 이 공정은, 예를 들어 포토레지스트에 대한 양호한 에칭 대비를 갖는 하부층을 제공할 수 있게 한다. 펄스 전구체 흐름을 사용하여 성장된 하부층은, 본원에 기술된 다양한 응용예에 사용될 수 있다. 예를 들어, 이들 스스로는 하부층으로서 사용될 수 있다. 대안적으로, 이들은 최하부층과 포토레지스트 사이의 부착층으로서 사용될 수 있고, 예를 들어 상위 하부층 부분(1422)은 본원의 다른 곳에서 설명된 바와 같이 사용된다. 선택적으로, 펄스 증착 공정을 사용하여 형성된 하부층은, 본원에 기술된 방법을 사용하여 표면 개질 플라즈마 처리를 받을 수 있다. 이는 하부층과 포토레지스트층 사이의 부착성을 더욱 향상시킬 수 있다.
하부층을 형성하기 위한 주기적 증착 공정 예시가 도 15에 설명되어 있다. 특히, 도 15는 플라즈마 전력(1501)이 항상 켜져 있고, 연속적인 플라즈마 가스 흐름(1502)을 나타낸다. 전구체 흐름(1503)이 펄스로 제공된다. 선택적으로, 전구체 펄스는 퍼지에 의해 분리된다(미도시). 시간선(1504)은 좌측에서 우측으로 시간의 흐름을 나타낸다. 이하에서, 300 mm 웨이퍼를 위한 예시적인 공정 조건이 제공된다. 본 발명의 기술에 의존하지 않고서 다른 웨이퍼 크기로 공정 조건이 쉽게 전달될 수 있음을 이해해야 한다.
주기적 증착 공정은, 예를 들어 전구체로 디메틸디메톡시실란과 아르곤/수소 플라즈마를 사용할 수 있다. 반응기 압력은 적절하게는 200 Pa 내지 400 Pa일 수 있다. 아르곤 유량은 1 내지 5 slm(분당 표준 리터)일 수 있다. 수소 유량은 적어도 0.1 slm 내지 최대 0.2 slm일 수 있다. 플라즈마 전력은 약 50 W일 수 있다. 전구체 펄스 시간은 적어도 0.1초 내지 최대 0.5초일 수 있다. 펄스는 퍼지에 의해 분리될 수 있다. 퍼지 가스는, 예를 들어 H, 귀가스, 또는 이들의 혼합물일 수 있다. 적절한 귀 가스는 He, Ne, Ar, Xe, 및 Kr을 포함한다. 퍼지 시간은 0.1 초 내지 2.0 초일 수 있다. 반응기 온도는 적어도 50°C 내지 최대 200°C, 예를 들어 적어도 75°C 내지 최대 150°C의 온도일 수 있다. 헬륨과 같은 밀폐 가스가, 예를 들어 적어도 0.2 slm 내지 최대 1.0 slm인 밀폐 가스 흐름으로 사용될 수 있다. 밀폐 가스는, 실리콘 웨이퍼와 같은 기판 아래의 증착을 방지하기 위해 적절하게 제공될 수 있다. 이러한 공정은 사이클 당 0.05 nm의 성장을 생성할 수 있고, 0.15 nm 미만의 두께 표준 편차를 제공할 수 있다. 표면 에너지는, 이러한 공정 범위에서 원하는 대로 적절하게 제어될 수 있으며, 적어도 3 mN/m 내지 최대 13 mN/m의 표면 에너지의 극성 부분과 적어도 26 mN/m 내지 최대 31 mN/m의 분산성 부분을 갖는다.
또 다른 예시적인 구현예에서, 주기적 증착 공정은 전구체로 옥타메틸사이클로테트라실록산(OMCTS)과 플라즈마 가스로 Ar 및 H2의 혼합물을 사용할 수 있다. 반응기 압력은 적어도 100 Pa 내지 최대 400 Pa일 수 있고, 예를 들어 적어도 200 Pa 내지 최대 300 Pa일 수 있다. 아르곤 유량은 적어도 2.0 slm 내지 최대 6.0 slm일 수 있다. 플라즈마 전력은 적어도 40 W 내지 최대 200 W, 예컨대 약 50 W일 수 있다. H2 유량은 적어도 0.1 slm 내지 최대 2.0 slm일 수 있다. 반응기 온도는 적어도 50°C 내지 최대 200°C, 예를 들어 적어도 75°C 내지 최대 150°C일 수 있다. 전구체는 펄스로 반응기에 제공된다. 전구체 펄스 시간은 적어도 0.1초 내지 최대 0.3초일 수 있다. 펄스는 퍼지에 의해 분리될 수 있다. 퍼지 시간은 적어도 0.5초 내지 최대 4.0초, 예를 들어, 적어도 0.1초 내지 최대 2.0초일 수 있다. 이러한 공정은 사이클 당 0.1 nm의 성장 속도를 제공할 수 있고, 0.1 nm 정도의 두께 표준 편차를 제공할 수 있다. 표면 에너지는, 이러한 공정 범위에서 원하는 대로 적절하게 제어될 수 있으며, 적어도 2 mN/m 내지 최대 13 mN/m의 표면 에너지의 극성 부분과 적어도 26 mN/m 내지 최대 30 mN/m의 분산성 부분을 갖는다.
본 발명이 임의의 이론이나 작동 모드에 의해 구속되지 않는다면, 상기 큰 극성 표면 에너지 부분은 요구되는 양의 EUV 복사량을 감소시키고 또한 개선된 대비 곡선을 제공한다고 여겨진다. 이는 도 16에 나타나 있다. 특히, 도 16의 패널 a)는 완전한 현상을 위한 필수 EUV 조사량을 하부층 표면 에너지의 극성 부분 함수로 타나내고, 요구되는 EUV 조사량은 하부층 표면 에너지의 극성 부분에 따라 단순하게 감소한다. 패널 b)에서 현상된 하부층-레지스트 적층체를 나타내고, 이의 하부층은 표면 에너지의 극성 부분이 비교적 낮아 불완전한 현상을 특징으로 한다. 패널 c)에서 현상된 하부층-레지스트 적층체를 나타내고, 이의 하부층은 표면 에너지의 극성 부분이 비교적 높아 개선된 현상 결과를 갖는다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 구현예 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (20)

  1. 포토레지스트 증착 단계를 위해 전처리를 적용하기 위한 방법으로서, 상기 방법은,
    - 기판을 반응 챔버에 제공하는 단계; 및
    - 상기 기판 상에 5 nm 미만의 두께를 갖는 하부층을 증착하는 단계를 포함하되,
    상기 하부층은 극성 부분 및 분산성 부분을 갖는 표면 에너지를 갖고, 상기 표면 에너지의 극성 부분은 적어도 3 mN/m 내지 최대 13 mN/m인, 방법.
  2. 제1항에 있어서, 상기 표면 에너지의 분산성 부분은 적어도 26 mN/m 내지 최대 31 mN/m인, 방법.
  3. 제1항에 있어서, 상기 표면 에너지의 분산성 및 극성 부분은 Owens, Wendt, Rabel 및 Kaelble(OWRK) 방법에 의해 결정되는, 방법.
  4. 제1항에 있어서, 상기 하부층은 실리콘, 산소, 수소, 및 탄소를 포함하는, 방법.
  5. 제4항에 있어서, 상기 하부층은 질소를 추가로 포함하는, 방법.
  6. 제1항에 있어서, 상기 방법은 상기 하부층을 플라즈마에 노출시키는 단계를 추가로 포함하되, 상기 플라즈마는 염소, 산소, 수소, 및 질소로 이루어진 목록으로부터 선택된 하나 이상의 원소를 포함하는, 방법.
  7. 제6항에 있어서, 상기 하부층이 상기 플라즈마에 노출되는 동안, 산소, 실리콘, 수소, 및 적어도 하나의 유기기를 포함한 전구체를 상기 반응 챔버에 제공하는, 방법.
  8. 제7항에 있어서, 상기 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS)로 이루어진 목록으로부터 선택되는, 방법.
  9. 제7항에 있어서, 상기 전구체는 펄스로 제공되는, 방법.
  10. 제6항에 있어서, 상기 플라즈마는 귀 가스를 추가로 포함하는, 방법.
  11. 제1항에 있어서, 상기 하부층은, 상위 하부층 부분(1422) 및 하위 하부층 부분(1421)을 포함한 이층 구조체를 포함하되, 상기 하위 하부층 부분(1421)은 금속 또는 준금속을 포함하는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 따른 방법을 수행하도록 구성된 하나 이상의 반응 챔버를 포함하는 시스템.
  13. 구조체를 형성하는 방법으로서, 상기 방법은,
    기판을 제공하는 단계;
    상기 기판의 표면 위에 포토레지스트 하부층을 형성하는 단계; 및
    상기 포토레지스트 하부층 위에 포토레지스트층을 형성하는 단계를 포함하되,
    상기 포토레지스트 하부층은 플라즈마-강화 원자층 증착 및 플라즈마-강화 화학 기상 증착 중 하나 이상을 사용하여 형성되고,
    상기 포토레지스트층은 극자외선(EUV) 리소그래피 포토레지스트를 포함하고,
    상기 포토레지스트 하부층의 두께는 5 nm 미만인, 방법.
  14. 제13항에 있어서, 상기 포토레지스트 하부층을 형성하는 단계는, 산소, 실리콘, 수소, 및 적어도 하나의 유기기를 포함한 전구체를 제공하는 단계를 포함하되,
    상기 전구체는 적어도 하나의 실리콘-산소 결합을 포함하고,
    상기 전구체는 적어도 하나의 실리콘-R 결합을 포함하고, R은 알킬, 알케닐, 알키닐, 아릴, 알콕시, 할로겐 및 수소로 이루어진 군 중 하나 이상으로부터 선택되는, 방법.
  15. 제14항에 있어서, 상기 전구체는 디메틸디메톡시실란, 디메톡시테트라메틸디실록산, 옥타메틸시클로테트라실록산, 테트라메틸시클로테트라실록산(TMCTS), 트리메틸실란(3MS), 및 디에톡시메틸실란(DEMS)로 이루어진 군으로부터 선택된 하나 이상을 포함하는, 방법.
  16. 제13항에 있어서, 상기 포토레지스트 하부층을 형성하는 단계는, Ar, He, O2, CO2, CO, H2, N2O, H2O, N2, NH3로 이루어진 군으로부터 하나 이상 선택된 공동 반응물, 및 반응물 중 하나 이상을 제공하는 단계를 포함하는, 방법.
  17. 제 13 항에 있어서, 상기 포토레지스트 하부층의 표면 에너지의 극성 부분을 결정하는 단계를 추가로 포함하되, 상기 포토레지스트층은 포지 포토레지스트를 포함하고, 상기 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 약 0 내지 약 15 mN/m인, 방법.
  18. 제13항에 있어서, 상기 포토레지스트 하부층의 표면 에너지의 극성 부분을 결정하는 단계를 추가로 포함하되, 상기 포토레지스트층은 네가 포토레지스트를 포함하고, 상기 포토레지스트 하부층의 표면 에너지의 극성 부분의 값은 상기 포토레지스트층의 표면 에너지의 극성 부분의 값의 약 -20% 내지 약 +20% 내에 있는, 방법.
  19. 제13항에 있어서, 상기 포토레지스트 하부층을 플라즈마 처리 단계에 노출하는 단계를 추가로 포함하는, 방법.
  20. 제19항에 있어서, 상기 플라즈마 처리 단계는 H2, O2, CO2, CO, N2O, NF3, CxHyFz (x>=1,y>=0,z>=0) 중 하나 이상으로부터 활성화된 종을 형성하는 단계를 포함하는, 방법.
KR1020200082590A 2019-07-09 2020-07-06 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법 KR20210007862A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962872239P 2019-07-09 2019-07-09
US62/872,239 2019-07-09

Publications (1)

Publication Number Publication Date
KR20210007862A true KR20210007862A (ko) 2021-01-20

Family

ID=74102349

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200082590A KR20210007862A (ko) 2019-07-09 2020-07-06 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법

Country Status (3)

Country Link
US (1) US20210013037A1 (ko)
KR (1) KR20210007862A (ko)
TW (1) TW202104645A (ko)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4578332B2 (ja) * 2005-06-15 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN107275309B (zh) * 2011-12-20 2021-02-09 英特尔公司 保形低温密闭性电介质扩散屏障
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US20180120278A1 (en) * 2016-11-01 2018-05-03 The University Of British Columbia Apparatus for volatile organic compound (voc) detection

Also Published As

Publication number Publication date
US20210013037A1 (en) 2021-01-14
TW202104645A (zh) 2021-02-01

Similar Documents

Publication Publication Date Title
US20210013037A1 (en) Structure including a photoresist underlayer and method of forming same
JP7379353B2 (ja) より優れた膜品質を可能にするためにマスク基板を処理する方法
US11735422B2 (en) Method of forming a photoresist underlayer and structure including same
US10580644B2 (en) Method and apparatus for selective film deposition using a cyclic treatment
TWI683025B (zh) 用於形成碳摻雜的含矽膜的方法
KR102376352B1 (ko) 다공성의 낮은 유전상수 필름 상에 기공 밀봉 층을 제공하기 위한 방법 및 조성물
US8465903B2 (en) Radiation patternable CVD film
KR101736888B1 (ko) 실리콘 옥시나이트라이드 막의 형성 방법 및 이 방법에 의해 제조된 실리콘 옥시나이트라이드 막을 가지는 기판
JP2009141329A (ja) 液浸リソグラフィーにおけるパターン崩壊を防止するためのプラズマ表面処理
JP2011511476A (ja) 乾式または液浸リソグラフィを用いる45nmフィーチャサイズでの、フォトレジスト材料の崩壊およびポイゾニングの解消
US20080311753A1 (en) Oxygen sacvd to form sacrifical oxide liners in substrate gaps
Choi et al. Selective pulsed chemical vapor deposition of water-free HfOx on Si in preference to SiCOH and passivated SiO2
US20230259043A1 (en) Structures and methods for use in photolithography
JP2010530139A (ja) パターンローディング用途向けの低温sacvdプロセス
KR20220145769A (ko) 포토레지스트 언더레이어 상에 접착 층을 형성하기 위한 방법 및 이를 포함한 구조체
TWI846966B (zh) 形成光阻底層之方法及包括光阻底層之結構
US20220216059A1 (en) Method of treating a substrate
TW202344915A (zh) 形成輻射敏感可圖案化材料之氣相方法與在基板之表面上形成圖案化部件之方法與反應器系統
KR20230020910A (ko) 패터닝된 특징부를 형성하는 방법
WO2024054311A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides