KR102177738B1 - 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트 - Google Patents

불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트 Download PDF

Info

Publication number
KR102177738B1
KR102177738B1 KR1020157027130A KR20157027130A KR102177738B1 KR 102177738 B1 KR102177738 B1 KR 102177738B1 KR 1020157027130 A KR1020157027130 A KR 1020157027130A KR 20157027130 A KR20157027130 A KR 20157027130A KR 102177738 B1 KR102177738 B1 KR 102177738B1
Authority
KR
South Korea
Prior art keywords
coating
chamber component
chamber
lanthanum
fluorine
Prior art date
Application number
KR1020157027130A
Other languages
English (en)
Other versions
KR20150127145A (ko
Inventor
손 티. 앤구옌
마이클 퐁
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150127145A publication Critical patent/KR20150127145A/ko
Application granted granted Critical
Publication of KR102177738B1 publication Critical patent/KR102177738B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01009Fluorine [F]

Abstract

본원에서 설명되는 실시예들은, 부식성 환경 내에서 부식 또는 열화로부터, 아래 놓인 챔버 컴포넌트를 보호하는 보호 코팅에 관한 것이다. 챔버 컴포넌트는 세라믹 재료를 포함하는 표면을 갖는다. 표면 상에 배치된 코팅은 마그네슘 산화물, 란탄 산화물, 또는 란탄 플루오르화물을 포함한다.

Description

불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트{CHAMBER COMPONENT WITH PROTECTIVE COATING SUITABLE FOR PROTECTION AGAINST FLUORINE PLASMA}
[0001] 본원에서 설명되는 실시예들은, 부식성 환경 내에서 부식(corrosion) 또는 열화(deterioration)로부터, 아래 놓인 챔버 컴포넌트(즉, 코팅이 위에 증착되는 물체(object)) 또는 컴포넌트 파트를 보호하는 보호 코팅에 관한 것이다.
[0002] 반도체 챔버 컴포넌트 프로세싱 시스템들에서, 프로세싱 챔버의 내부는 종종, 다양한 부식성 또는 반응성 환경들에 노출된다. 이러한 반응성 환경들은, Cl2와 같은 안정적인(stable) 부식성 가스들, 또는 프로세스 반응들로부터 생성되는 부산물들 또는 라디칼들을 포함하는 다른 반응성 종으로부터 기인할 수 있다. 에칭 또는 화학 기상 증착(CVD)과 같은 플라즈마 프로세싱 애플리케이션들에서, 반응성 종은 또한, 자체적으로 부식성 또는 반응성일 수 있거나 또는 그렇지 않을 수 있는 다른 분자들의 해리를 통해 생성된다. 프로세스 챔버 또는 챔버 내의 컴포넌트들의 프로세스 성능 및 내구성을 보장하기 위해, 보호 및 부식 저항 대책(measure)들이 요구된다.
[0003] 챔버 또는 챔버 내의 컴포넌트들의 부식을 감소시키는 것은 또한, 챔버 내의 바람직하지 않은 입자들의 존재를 감소시킨다. 예컨대, Cl2로부터의 부식을 방지하기 위해, 니켈-도금된 컴포넌트들이 종종 프로세스 챔버들에서 사용된다. 특히 NF3 또는 CHF3와 같은 불소 함유 가스들은, 고도로 반응적인 원자 불소(F)를 발생시킨다. 고온 CVD 프로세스들은 종종, 불소 계 세정 가스들과 같은 원소들로부터의 공격에 대해 민감한 AlN 가열기들을 사용한다. 예컨대, AlN으로 제조된 세라믹 가열기들은, 특정한 챔버 컴포넌트 프로세싱 시스템들에서 세정 가스로서 종종 사용되는 NF3에 의해 공격을 받는다. AlN 가열기들은 일반적으로 고가이며, 가열기 표면들의 서비스 수명(service life)을 증가시키고, 챔버에서의 바람직하지 않은 입자들의 존재를 감소시키는 것이 바람직하다.
[0004] 따라서, 불소와 같은 원소들에 대한 개선된 내성을 갖는, 세라믹 가열기들과 같은 반도체 프로세싱 컴포넌트들에 대한 필요성이 본 기술분야에 존재한다.
[0005] 본원에서 설명되는 실시예들은 일반적으로, 반도체 챔버 컴포넌트들을 위한 보호 코팅들에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은, 불소 플라즈마에 대한 내성을 증가시키는데 적합한, 알루미늄 질화물(AlN) 가열기의 보호 코팅에 관한 것이다.
[0006] 일 실시예에서, 반도체 프로세싱 챔버에서 사용하기 위한 챔버 컴포넌트가 제공된다. 챔버 컴포넌트는 알루미늄 질화물로 구성된 표면을 갖는다. 표면은 코팅을 갖고, 코팅은 마그네슘 플루오르화물 또는 란탄 플루오르화물을 포함한다.
[0007] 다른 실시예에서, 반도체 프로세싱 챔버에서 사용하기 위한 챔버 컴포넌트 상에 코팅을 형성하는 방법이 제공된다. 방법은 챔버 컴포넌트의 표면 상에 코팅을 증착하는 단계를 포함한다. 코팅은 마그네슘 산화물, 란탄 산화물, 또는 란탄 플루오르화물 중 적어도 하나를 포함한다. 코팅은, 선택적으로, 불소 함유 플라즈마에 노출될 수 있다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1a는, 일반적인 부식성 또는 반응성 환경에 노출되는 종래의 프로세싱 챔버의 부분적인 단면도를 도시한다.
[0010] 도 1b는, 보호 코팅을 갖는, 부식성 환경에 노출되는 프로세싱 챔버 컴포넌트의 부분적인 단면도를 예시한다.
[0011] 도 2는, 플라즈마 프로세싱 챔버에 배치된, 보호 코팅을 갖는 프로세싱 챔버 컴포넌트를 갖는 본 발명의 특정한 실시예들을 예시한다.
[0012] 도 3은, 챔버 컴포넌트 상에 보호 코팅을 형성하기 위한 방법 단계들을 도시한다.
[0013] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 피처들이, 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
[0014] 본원에서 설명되는 실시예들은, 부식성 환경 내에서 부식(corrosion) 또는 열화(deterioration)로부터, 아래 놓인 챔버 컴포넌트(즉, 코팅이 위에 증착되는 물체) 또는 컴포넌트 파트를 보호하는 보호 코팅에 관한 것이다.
[0015] 도 1a 및 도 1b는, 챔버 컴포넌트에 대한 코팅의 보호 효과들을 개략적으로 예시한다. 도 1a는, 일반적인 부식성 또는 반응성 환경(110)에 노출되는 종래의 프로세싱 챔버 컴포넌트(100)의 부분적인 단면도를 도시한다. 예컨대, 챔버 컴포넌트(100)는 주변 환경(110)에서의 종에 의해 공격을 받을 수 있고, 이는, 챔버 컴포넌트(100)의 표면(101) 상에 피트(pit)들(102) 또는 다른 결함들(104)이 형성되게 할 수 있다. 반응성 환경(110)에 따라, 챔버 컴포넌트(100)의 열화는 화학적 또는 물리적 공격들에 의해 야기될 수 있고, 반드시, 도 1a에서 예시된 것들과 같은 쉽게 보이는 결함들을 초래하는 것은 아닐 수 있다. 예컨대, 챔버 컴포넌트(100)의 화학적 또는 물리적 특성들은, 환경(110)에서의, 불소(F)와 같은 종, 또는 다른 반응성 종(일반적으로 "X"로 표시됨)과 챔버 컴포넌트(100) 사이의 화학 반응들에 의해, 또는 에너제틱 종(즉, + 및 - 이온들)의 물리적 충격에 의해, 변경될 수 있다.
[0016] 도 1b는, 코팅(150)이 챔버 컴포넌트(190) 상에 형성된 후에 부식성 환경(110)에 노출되는 챔버 컴포넌트(190)의 단면도를 예시한다. 본 발명의 코팅(150)은 반응성 또는 부식성 환경(110)에 의한 공격에 대해 저항적이고, 아래 놓인 챔버 컴포넌트(190)의 열화는 감소 또는 방지될 수 있다. 챔버 컴포넌트(190)는, 페데스탈, 서셉터, 리프트 핀, 라이너(liner), 가열기, 정전 척, 실드(shield), 에지 링, 샤워헤드, 돔(dome), 챔버 바디, 또는 다른 챔버 컴포넌트일 수 있다.
[0017] 일 실시예에서, 코팅(150)은 마그네슘 산화물(MgO) 또는 란탄 산화물(La2O3)을 포함한다. 다른 실시예에서, 코팅은 란탄 플루오르화물(LaF3)을 포함한다. 코팅(150)은, 플라즈마 환경에 노출될 수 있는 챔버 컴포넌트(190)의 내부 표면들을 코팅하기 위해 사용될 수 있다. 예컨대, 코팅(150)은, CVD 챔버들 내에 채용되는 세라믹 가열기들과 같은, 알루미늄(Al) 또는 알루미늄 질화물(AlN) 챔버 컴포넌트들에 적용될(applied) 수 있다. Al 및 AlN은 전형적으로, 이들이 고온 CVD 프로세스 환경들에 반복적으로 노출되는 경우에, 시간이 흐름에 따라 부식 및 열화된다. 코팅(150)은, 부식성 환경(110)에 노출되는 경우에, 가열기 표면의 부식을 방지한다. 부식성 환경(110)의 예는, 400 ℃ 초과의 온도들에서의 플루오르화물을 함유하는 플라즈마의 존재일 수 있다.
[0018] MgO, La2O3, 또는 LaF3의 코팅(150)은, 상이한 프로세스들에 의해, 챔버 컴포넌트 상에 형성될 수 있다. 코팅 프로세스들은 일반적으로, 예컨대, 고온 증발 및 스퍼터링, 예컨대 물리 기상 증착(PVD), CVD, 플라즈마 강화 CVD(PECVD), 하이브리드 CVD, 원자 층 증착(ALD), 전자 빔 기화, 또는 챔버 컴포넌트 상에 코팅을 증착하기에 적합한 다른 프로세스들을 포함한다. 그러나, 프로세스가 바람직한 부식 방지 특성들을 갖는 고품질 코팅을 발생시키는 한, 특정 코팅 프로세스는 본원에서 설명되는 실시예들의 실시에 대해 중요하지 않다.
[0019] 일 실시예에서, 코팅 프로세스는 챔버 또는 챔버 컴포넌트 파트를 코팅하기 위해 CVD 프로세스에 의해 수행될 수 있다. CVD 증착은, CVD 증착 프로세스의 등각성(conformality)의 결과로서, 챔버 또는 챔버 컴포넌트 파트가 비-평탄한 토포그래피(topography)를 나타내는 경우에, 유리하게 수행될 수 있다. CVD 증착은 또한, 실질적으로 평탄한 표면들 상의 증착에 대해 적절하다. CVD 코팅 프로세스를 사용하는 실시예에서, MgO를 포함하는 코팅(150)은, 산소 함유 전구체 및 마그네슘 함유 전구체를 제공함으로써, 챔버 컴포넌트(190) 상에 형성될 수 있다. CVD 코팅 프로세스를 사용하는 실시예에서, La2O3를 포함하는 코팅(150)은, 산소 함유 전구체 및 란탄 함유 전구체를 제공함으로써, 챔버 컴포넌트(190) 상에 형성될 수 있다.
[0020] CVD 코팅 프로세스를 사용하는 실시예에서, LaF3를 포함하는 코팅(150)은, 불소 함유 전구체 및 란탄 함유 전구체를 제공함으로써, 챔버 컴포넌트(190) 상에 형성될 수 있다. 위의 실시예들 전부에서, 전구체들은 원하는 코팅을 제공하기에 적합한 임의의 전구체들일 수 있다. 부가적으로, 비활성 가스들과 같은 캐리어 가스들이, 증착 전구체 가스들과 함께 제공될 수 있다.
[0021] 도 2는, 코팅(150)이 챔버 컴포넌트(190) 상에 형성되는, 본 발명의 특정한 실시예들을 예시한다. 도시된 실시예에서, 기판 지지부(210)의 형태의 챔버 컴포넌트(190) 상에 보호 코팅(150)이 배치된다. 더 구체적으로, 기판 지지부(210)는, AlN으로 제조되고 매립된(embedded) 가열기를 갖는 세라믹 바디를 포함할 수 있다. 기판 지지부(210)는, 챔버 컴포넌트를 높은 프로세싱 온도로 가열하기 위한, CVD 챔버와 같은 플라즈마 프로세싱 챔버(250)에서 사용될 수 있다. 기판 지지부(210)의 임의의 노출된 표면은, 프로세스 가스들, 또는 NF3와 같은 챔버 세정 가스를 함유하는 플라즈마에서의 컴포넌트들과 같은 부식성 환경(110)에 대한 노출 시의 공격에 대해 민감하다.
[0022] 산화물(즉, SiO2) 증착을 위해 구성된 CVD 챔버에서, 산화물은, 챔버 컴포넌트의 표면, 뿐만 아니라, 챔버(250)의 내부 표면들(252) 및 챔버(250) 내부의, 기판 지지부(210)와 같은 다른 챔버 컴포넌트들 양자 모두 상에 증착된다. 효율적인 프로세스 및 챔버 동작을 유지하기 위해, 산화물 증착물들이, 내부 챔버 표면들(252) 및 챔버 컴포넌트들로부터 제거되어야만 한다. 산화물 제거는 전형적으로, 산화물 증착물들을 에칭하기 위해 NF3와 같은 불소-함유 가스를 채용하는 세정 단계를 통해 달성된다.
[0023] 특정한 실시예들에서, 불소는 원격 플라즈마 소스(RPS)를 통해 챔버(250)에 제공된다. RPS는 전구체 가스로부터 플라즈마를 형성하고, 이는, 세정 라디칼들을 형성하도록 전구체 가스의 해리를 야기한다. 일 실시예에서, 세정 라디칼들은, CxFy 또는 NF3와 같은 전구체 가스로부터 유도되는 F+ 이온들 또는 F 원자들이다. 대안적으로, 전구체 가스는 세정 라디칼들을 형성하도록 반응될 수 있는 임의의 액체, 가스, 또는 고체일 수 있다. RPS 세정은, CVD 프로세싱 챔버(250)를 세정하기 위해 본 기술분야에 일반적으로 알려져 있는 조건들 하에서 수행될 수 있다. 그러한 세정 프로세스들은 일반적으로, 약 400 ℃ 초과의 온도에서 불소 플라즈마를 사용한다.
[0024] 불소 플라즈마가 챔버(250)를 세정함에 따라, 불소 원자들 또는 라디칼들은 챔버(250)에 존재하는 다른 화합물들과 반응한다. 일 실시예에서, 불소 플라즈마는, 기판 지지부(210)의 표면 상에 코팅된 MgO 또는 La2O3와 반응한다. 이들의 화학적 성질의 결과로서, 불소 원자들은 마그네슘 또는 란탄과 결합하고, 코팅(150)에 본래 존재하는 산소를 치환(displace)한다. 따라서, 기판 지지부(210) 상의 코팅(150)은 마그네슘 플루오르화물(MgF2) 또는 란탄 플루오르화물(LaF3)로 변환된다. 기판 지지부(210)가 LaF3로 본래 코팅되었던 실시예에서, LaF3는 보호 코팅으로서 작용하고, 불소 플라즈마에서 일반적으로 비활성 및 비반응성이고, 따라서, 부식성 세정 환경(110)으로부터 AlN 가열기를 보호한다.
[0025] 도 3은 챔버 컴포넌트 상에 보호 코팅을 형성하기 위한 방법 단계들을 도시한다. 방법(300)은 챔버 컴포넌트를 제공함으로써 단계(310)에서 시작된다. 일 실시예에서, 챔버 컴포넌트는 AlN을 포함하는 세라믹 가열기이다. 단계(320)에서, 챔버 컴포넌트의 표면 상에 코팅을 배치하기 위해 코팅 프로세스가 수행된다. 위에서 논의된 바와 같이, 코팅은 MgO, La2O3, 또는 LaF3, 또는 이들의 임의의 조합 중 임의의 것일 수 있다. 일 실시예에서, CVD 프로세스와 같은 증착 프로세스가, AlN 가열기를 코팅하기 위해 사용될 수 있다.
[0026] 그 후에, 단계(330)에서, 코팅은 선택적으로, 불소 함유 플라즈마에 노출된다. 마그네슘 플루오르화물 또는 란탄 플루오르화물 코팅을 형성하기 위해, 불소 함유 플라즈마는 이전에 증착된 MgO 또는 La2O3 코팅과 반응한다. 불소-함유 플라즈마는 약 400 ℃ 초과의 온도에서 수행되는 챔버 세정 프로세스의 부분일 수 있다. 예시적인 실시예에서, 마그네슘 플루오르화물 또는 란탄 플루오르화물 부식 저항 보호 코팅이, AlN 가열기의 표면 상에 배치된다.
[0027] 마그네슘 플루오르화물 및 란탄 플루오르화물 코팅들은, CVD 챔버 프로세싱 환경 내의 반응성 종에 의한 (화학적인 또는 물리적인) 공격에 대해 저항적이다. 따라서, 마그네슘 플루오르화물 또는 란탄 플루오르화물은, 불소 함유 플라즈마의 존재 시에 AlN 가열기의 열화 및 부식을 실질적으로 감소시키는 개선된 보호를 제공한다. 더욱이, 보호 코팅은 400 ℃ 초과의 프로세싱 환경들에서 효과적이다.
[0028] 고온 조건들 하에서 CVD 챔버들과 함께 사용하기 위해 개시된 특정 실시예들은 단지 예시적인 것으로 의도된다. 본원에서 설명되는 실시예들은 일반적으로, 에칭, 플라즈마, 또는 반응성 프로세스들에서 일반적으로 조우되는 것들과 같은 다른 부식성 환경들에 대해 적용가능하다.
[0029] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 플라즈마 프로세싱 챔버에서 사용하기 위한 장치로서,
    표면을 갖는 챔버 컴포넌트 ― 상기 표면은 알루미늄 질화물을 포함함 ―; 및
    상기 챔버 컴포넌트의 표면 상에 배치된 코팅
    을 포함하며,
    상기 코팅은 란탄 산화물, 란탄 플루오르화물, 및 이들의 조합을 포함하는 그룹으로부터 선택되고,
    상기 코팅은,
    란탄 산화물 층을 증착하기 위하여 란탄 함유 전구체 및 산소 함유 전구체에 상기 챔버 컴포넌트의 표면을 노출시키고, 란탄 플루오르화물, 및 란탄 산화물 및 란탄 플루오르화물의 조합을 위하여 상기 란탄 산화물 층을 불소 기반 플라즈마에 추가로 노출시킴으로써 형성되는,
    플라즈마 프로세싱 챔버에서 사용하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 챔버 컴포넌트는 기판 지지부인,
    플라즈마 프로세싱 챔버에서 사용하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 기판 지지부는 가열기를 포함하는,
    플라즈마 프로세싱 챔버에서 사용하기 위한 장치.
  4. 제 1 항에 있어서,
    상기 챔버 컴포넌트는, 페데스탈, 서셉터, 기판 지지부, 리프트 핀, 라이너(liner), 가열기, 정전 척, 실드(shield), 에지 링, 샤워헤드, 돔(dome), 및 챔버 바디(body)로 구성된 그룹으로부터 선택되는,
    플라즈마 프로세싱 챔버에서 사용하기 위한 장치.
  5. 플라즈마 프로세싱 챔버에서 사용하기 위한 챔버 컴포넌트 상에 코팅을 형성하는 방법으로서,
    플라즈마 프로세싱 챔버 컴포넌트의 표면 상에 코팅을 증착하는 단계를 포함하고,
    상기 코팅은 란탄 산화물, 란탄 플루오르화물, 및 이들의 조합을 포함하는 그룹으로부터 선택되고,
    상기 코팅을 증착하는 단계는,
    란탄 산화물 층을 증착하기 위하여 란탄 함유 전구체 및 산소 함유 전구체에 상기 플라즈마 프로세싱 챔버 컴포넌트의 표면을 노출시키는 단계; 및
    란탄 플루오르화물, 및 란탄 산화물 및 란탄 플루오르화물의 조합을 위하여 상기 란탄 산화물 층을 불소 기반 플라즈마에 노출시키는 단계
    를 포함하는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
  6. 삭제
  7. 제 5 항에 있어서,
    상기 란탄 산화물 층을 불소 기반 플라즈마에 노출시키는 단계는, 400 ℃ 초과의 온도에서 수행되는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 제 5 항에 있어서,
    상기 코팅을 증착하는 단계는, 불소 함유 전구체를 제공하는 단계를 더 포함하는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
  12. 제 5 항에 있어서,
    상기 증착하는 단계는,
    상기 코팅을 증착하기 위해 CVD 프로세스를 수행하는 단계를 더 포함하는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
  13. 제 5 항에 있어서,
    상기 코팅을 증착하는 단계는, 원격 플라즈마 소스에서 상기 불소 기반 플라즈마를 생성하는 단계를 더 포함하는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
  14. 삭제
  15. 제 5 항에 있어서,
    상기 란탄 산화물 층을 불소 기반 플라즈마에 노출시키는 단계는, 상기 코팅의 적어도 일부를, 불소 기반 플라즈마에 대한 노출을 통해, 란탄 플루오르화물로 변환시키는 단계를 더 포함하는,
    챔버 컴포넌트 상에 코팅을 형성하는 방법.
KR1020157027130A 2013-03-08 2014-02-06 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트 KR102177738B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361775044P 2013-03-08 2013-03-08
US61/775,044 2013-03-08
PCT/US2014/015153 WO2014137532A1 (en) 2013-03-08 2014-02-06 Chamber component with protective coating suitable for protection against fluorine plasma

Publications (2)

Publication Number Publication Date
KR20150127145A KR20150127145A (ko) 2015-11-16
KR102177738B1 true KR102177738B1 (ko) 2020-11-11

Family

ID=51491763

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027130A KR102177738B1 (ko) 2013-03-08 2014-02-06 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트

Country Status (6)

Country Link
US (1) US10633738B2 (ko)
JP (2) JP2016520707A (ko)
KR (1) KR102177738B1 (ko)
CN (1) CN105190847A (ko)
TW (1) TWI617694B (ko)
WO (1) WO2014137532A1 (ko)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2016520707A (ja) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169298A1 (en) * 2018-03-01 2019-09-06 Applied Materials, Inc. Systems and methods of formation of a metal hardmask in device fabrication
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11239058B2 (en) * 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113924387A (zh) * 2019-05-22 2022-01-11 应用材料公司 用于高温腐蚀环境的基板支承件盖
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7366234B2 (ja) * 2019-08-09 2023-10-20 アプライド マテリアルズ インコーポレイテッド 処理チャンバ部品のための保護用多層コーティング
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022231190A1 (ko) * 2021-04-26 2022-11-03 디이티 주식회사 코팅 타입 고온 정전척
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000026434A1 (en) * 1998-10-31 2000-05-11 Applied Materials, Inc. Improved corrosion resistant coating
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
JP2012532987A (ja) * 2009-07-08 2012-12-20 エム ケー エス インストルメンツ インコーポレーテッド プラズマチャンバ表面を保護するための方法および装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6379492B2 (en) * 1998-10-31 2002-04-30 Applied Materials, Inc. Corrosion resistant coating
EP1026796B1 (en) 1999-02-01 2005-11-16 Tadahiro Ohmi Laser oscillating apparatus, exposure apparatus using the same, and device fabrication method
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP4119211B2 (ja) * 2002-09-13 2008-07-16 日本碍子株式会社 加熱装置
US20050112289A1 (en) * 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
KR100726668B1 (ko) * 2005-01-21 2007-06-12 엘지전자 주식회사 플라즈마 디스플레이 패널의 제조방법
KR100862948B1 (ko) * 2006-09-12 2008-10-15 (주)인텍 이온빔을 이용한 PDP용 MgO 박막 증착 장치 및 증착방법
JP5057834B2 (ja) 2007-04-25 2012-10-24 株式会社東芝 ランタノイドアルミネート膜の製造方法
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
US20100272982A1 (en) * 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
JP2016520707A (ja) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000026434A1 (en) * 1998-10-31 2000-05-11 Applied Materials, Inc. Improved corrosion resistant coating
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
JP2012532987A (ja) * 2009-07-08 2012-12-20 エム ケー エス インストルメンツ インコーポレーテッド プラズマチャンバ表面を保護するための方法および装置

Also Published As

Publication number Publication date
TWI617694B (zh) 2018-03-11
WO2014137532A1 (en) 2014-09-12
US10633738B2 (en) 2020-04-28
CN105190847A (zh) 2015-12-23
TW201447022A (zh) 2014-12-16
JP2016520707A (ja) 2016-07-14
KR20150127145A (ko) 2015-11-16
US20170204516A1 (en) 2017-07-20
JP2019094566A (ja) 2019-06-20

Similar Documents

Publication Publication Date Title
KR102177738B1 (ko) 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US20150218700A1 (en) Chamber component with protective coating suitable for protection against flourine plasma
US20190368035A1 (en) In-situ cvd and ald coating of chamber to control metal contamination
KR20230148142A (ko) 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들
CN109075084B (zh) 保护性金属氟氧化物涂层
US20190203350A1 (en) Plasma corrision resistive heater for high temperature processing
US8017062B2 (en) Semiconductor processing components and semiconductor processing utilizing same
KR101322783B1 (ko) 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
US20150376780A1 (en) Plasma corrosion resistive heater for high temperature processing
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
EP1132495A1 (en) Plasma-inert cover and plasma cleaning process and apparatus employing same
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
US20140099491A1 (en) Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
KR20110015676A (ko) 플라즈마 반응기용 용사 이트리아 함유 코팅
JPH06298596A (ja) プラズマ処理反応器内の導電性の面を保護するための方法及び装置
TW201447968A (zh) 等離子體裝置內具有氧化釔包覆層的部件及其製造方法
TWI788654B (zh) 用於高溫腐蝕環境之基板支撐件蓋
CN114586131A (zh) 通过原子层沉积而沉积的铪铝氧化物涂层
US6379492B2 (en) Corrosion resistant coating
KR20210092837A (ko) 워크피스 프로세싱 챔버를 위한 컴포넌트 부품들의 다층 코팅들
KR20180022590A (ko) 에칭 챔버에서의 에칭량의 신속한 복구를 위해 알루미늄 옥시-플루오라이드 층을 증착하기 위한 방법
US8398779B2 (en) Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
US11118263B2 (en) Method for forming a protective coating film for halide plasma resistance
KR20170006807A (ko) 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
JP2019116676A (ja) 成膜装置の構成部材の耐食構造およびその構成部材の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant