KR20230050333A - 금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들 - Google Patents

금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들 Download PDF

Info

Publication number
KR20230050333A
KR20230050333A KR1020237004801A KR20237004801A KR20230050333A KR 20230050333 A KR20230050333 A KR 20230050333A KR 1020237004801 A KR1020237004801 A KR 1020237004801A KR 20237004801 A KR20237004801 A KR 20237004801A KR 20230050333 A KR20230050333 A KR 20230050333A
Authority
KR
South Korea
Prior art keywords
radiation
optionally substituted
exposed
film
metal
Prior art date
Application number
KR1020237004801A
Other languages
English (en)
Inventor
에릭 캘빈 한센
티모시 윌리엄 와이드먼
챙하오 우
케빈 리 구
드라이스 딕투스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230050333A publication Critical patent/KR20230050333A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

본 개시는 노출된 포토레지스트 막을 처리하기 위한 금속 킬레이터 (chelator) 의 사용에 관한 것이다. 특정한 실시 예들에서, 금속 킬레이터는 노출된 영역과 노출되지 않은 영역 사이에 배치되거나 노출된 영역 내에 배치된 계면 영역을 제거하여, 패터닝 품질을 향상시키도록 채용된다.

Description

금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들 (chelators)
본 개시는 노출된 포토레지스트 막들을 처리하기 위한 금속 킬레이터 (chelator) 의 사용에 관한 것이다. 특정한 실시 예들에서, 금속 킬레이터는 노출된 영역과 노출되지 않은 영역 사이에 배치되거나 (dispose) 노출된 영역 내에 배치된 계면 영역을 제거하여, 패터닝 품질을 향상시키도록 채용된다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하여, 현상 후, 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 (Damascene) 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 더 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 다른 포토리소그래피 방법들로 달성될 수 있는 것보다 더 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다. 본 출원은 2020년 7월 17일에 출원된 미국 특허 가출원 번호 제 62/705,855 호의 우선권의 이익을 주장하고, 이는 전체가 본 명세서에 참조로서 인용된다.
본 개시는 금속-기반 포토레지스트 (photoresist; PR) 의 현상 (예를 들어, 습식 현상) 동안 하나 이상의 금속 킬레이터(들) (chelator(s)) 의 사용에 관한 것이다. 유기금속-기반 PR들의 리소그래피 노출 동안, 리소그래피로 노출된 영역과 노출되지 않은 영역 사이에 계면 영역이 존재할 수 있다. 본 명세서에 논의된 바와 같이, 이 계면 영역은 가장 노출된 영역으로부터 완전히 노출되지 않은 영역으로 급격하게 (sharply) 전이하는 영역으로서 특성화될 수 있다. 따라서, 이 계면 영역에서, PR의 조성은 가장 노출된 영역에 존재하는 생성물들 및 완전히 노출되지 않은 영역에 존재하는 생성물들과 상이한 다양한 부분 반응 생성물들을 포함할 수 있다. 이러한 반응 생성물들은 습식 현상 프로세스들 후에 거칠기를 야기할 수 있다. 이에 더하여, 이 계면 영역은 짧은 거리, 예를 들어, 1 ㎚ 또는 2 ㎚에 걸칠 수 있고; 그리고 이 계면 영역 내의 일반적인 조성은 대략 습식 현상을 위해 도즈 (dose) 문턱 값에서 요구되는 조성이다.
이 계면 영역에서, 약하게 결합된 금속 종이 존재할 수 있다. 본 명세서에 기술된 바와 같이, 본 개시는, 예를 들어, 특히 라인-폭-거칠기 (line-width-roughness; LWR) 및/또는 라인-에지-거칠기 (line-edge-roughness; LER) 에 관한 개선된 결과적인 패터닝 품질을 제공할 수 있는, 금속 킬레이터(들)를 사용한 이러한 금속 종의 제거를 제공한다.
따라서, 제 1 양태에서, 본 개시는 계면 영역을 갖는 방사선 패터닝된 막 (예를 들어, 노출된 막) 을 제공하는 단계; 및 금속 킬레이터 (예를 들어, 또는 2 개 이상의 상이한 금속 킬레이터들) 의 존재 하에 방사선 패터닝된 막을 현상하는 단계를 포함하는 방법을 특징으로 하고, 금속 킬레이터는 계면 영역의 하나 이상의 방사선 노출된 금속 중심들에 결합하도록 구성된다. 일부 실시 예들에서, 방사선 노출된 금속 중심은 약하게 결합된 (예를 들어, 1, 2, 또는 3 개의 금속-산소 결합들로 특성화된) 금속 종이다. 계면 영역은 방사선 노출된 영역과 방사선 노출되지 않은 영역 사이에 배치되거나 방사선 노출된 영역 내에 (예를 들어, 고도로 노출된 영역과 덜 노출된 영역 사이에) 배치될 수 있다. 일부 실시 예들에서, 계면 영역은 고도로 노출된 영역과 노출되지 않은 영역 사이 또는 고도로 노출된 영역과 덜 노출된 영역 사이에 배치된 계면 또는 전이 영역을 포함한다.
특정한 실시 예들에서, 노출된 막 또는 방사선 패터닝된 막은 EUV-감응 막을 포함한다. 다른 실시 예들에서, 노출된 막 또는 방사선 패터닝된 막은 EUV 방사선에 대한 노출로, EUV 노출된 영역들, EUV 노출되지 않은 영역, 및 EUV 노출된 영역과 EUV 노출되지 않은 영역 사이에 배치된 계면 영역을 갖는 것으로 특성화된다. 또 다른 실시 예들에서, 계면 영역은 (예를 들어, EUV 방사선에 고도로 노출된 영역과 비교하여) EUV 방사선에 덜 노출된 영역을 포함한다. 특정한 실시 예에서, 계면 영역은 EUV 노출된 영역 내에 있다.
일부 실시 예들에서, 본 명세서에 사용된 바와 같이, "방사선 노출된 영역"은 방사선에 대한 가변 노출을 갖는 영역을 포함할 수 있다. 예를 들어, 방사선 노출된 영역 내의 특정한 영역들이 더 높은 방사선 도즈량 (dosage) 에 노출될 수 있고 그리고 방사선 노출된 영역 내의 다른 영역들이 더 낮은 방사선 도즈량에 노출될 수 있도록, 방사선 노출된 영역 내 (거리의 함수로서) 도즈량 곡선은 비선형일 수 있다. 방사선 도즈량의 정도가 PR 내 반응의 정도에 영향을 줄 수 있기 때문에, 다양한 반응 생성물들이 방사선 노출된 영역 내에 존재할 수 있다. 따라서, 일부 실시 예들에서, 방사선 노출된 영역은 가장 많이 노출된 영역으로부터 덜 노출된 영역으로 급격하게 전이하는 계면 영역을 포함하는 것으로 간주될 수 있다.
일부 실시 예들에서, 상기 현상하는 단계는 계면 영역을 제거하는 단계를 더 포함한다. 이러한 제거는 금속 킬레이터 없이 현상하는 것과 비교하여 개선된 LWR 및/또는 LER (예를 들어, 라인 거칠기의 상이한 소스들, 예컨대 고 주파수 소스, 중간 주파수 소스 및 저 주파수 소스 사이를 구별하기 위해 전력 스펙트럼 밀도 측정 값들에 의해 결정될 때 감소된 고 주파수 노이즈로 특성화됨) 을 제공할 수 있다. 예를 들어, 킬레이터의 사용은 전력 스펙트럼 밀도 곡선의 라인 형상에 대한 국부적인 섭동들을 평활화함으로써 (smooth out) 고 주파수 거칠기 내지 중 주파수 거칠기도 감소시킬 수 있다. 특정한 실시 예들에서, 상기 현상하는 단계는 금속 킬레이터에 용매 또는 용매 혼합물 (예를 들어, 본 명세서에 기술된 임의의 것) 을 제공하는 것을 포함한다.
다른 실시 예들에서, 상기 현상하는 단계는 방사선 노출되지 않은 영역과 비교하여, 방사선 노출된 영역을 우선적으로 제거하는 용매 또는 용매 혼합물을 채용하는 단계를 더 포함한다. 일부 실시 예들에서, 금속 킬레이터는 용매 또는 용매 혼합물에 가용성 (soluble) 이다. 특정한 실시 예들에서, 금속 킬레이터는 방사선 노출되지 않은 영역에 존재하는 금속 중심과 비교하여, 계면 영역의 방사선 노출된 금속 중심에 우선적으로 결합한다.
일부 실시 예들에서, 금속 킬레이터는 디카르보닐 (예를 들어, 1,3-디케톤), 디올, 카르복시산 (예를 들어, RA1-CO2H, 여기서 RA1은 H, 선택 가능하게 (optionally) 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 하이드록시아릴, 선택 가능하게 치환된 카르복시알킬, 선택 가능하게 치환된 카르복시아릴, 또는 선택 가능하게 치환된 아릴), 이산, 삼산, 하이드록시카르복시산, 하이드록삼산 (예를 들어, RA1-C(O)NRA2OH, 여기서 RA1 및 RA2 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴), 하이드록시락톤, 하이드록시케톤 (예를 들어, 하이드록시피리디논, 하이드록시피리미돈, 또는 하이드록시피론), 또는 이들의 염을 포함한다. 다른 실시 예들에서, 금속 킬레이터는 포름산 (formic acid), 시트르산 (citric acid), 아세틸아세톤 (acetylacetone), 살리실산 (salicylic acid), 카테콜 (catechol), 또는 아스코르브산 (ascorbic acid) 을 포함한다.
다른 실시 예들에서, 금속 킬레이터는 화학식 (I), 화학식 (II), 또는 화학식 (III) 의 구조:
Figure pct00001
,
Figure pct00002
,
Figure pct00003
, 또는 이들의 염을 갖는 하이드록시케톤을 포함하고,
X1 및 X2 각각은 독립적으로, -CR1= 또는 -N=이고;
R1 및 R2 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 카르복시알킬, -C(O)NRN1RN2, 또는 -C(O)ORO1이고, 여기서 RN1, RN2, 및 RO1 각각은 독립적으로, H, 또는 선택 가능하게 치환된 알킬이고, 선택 가능하게 RN1 및 RN2는, 함께 취해질 때, 선택 가능하게 치환된 헤테로사이클릴을 형성하고; 그리고
R3은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다.
일부 실시 예들에서, 금속 킬레이터는 백본 (backbone) 상에 배치된 복수의 모이어티들 (moieties) 을 포함하고, 복수의 모이어티들은 하이드록실, 카르복실, 아미도, 아미노, 및 옥소로부터 선택된다. 비제한적인 모이어티들은 디카르보닐 (dicarbonyl), 디올 (diol), 카르복시산 (carboxylic acid), 이산 (diacid), 삼산 (triacid), 하이드록시카르복시산 (hydroxycarboxylic acid), 하이드록삼산 (hydroxamic acid), 하이드록시락톤 (hydroxylactone), 하이드록시케톤 (hydroxyketone), 또는 이들의 염의 1가 또는 다가 형태 중 하나 이상을 포함한다.
일부 실시 예들에서, 방사선 노출된 금속 중심은 전이 금속을 포함한다. 비제한적인 금속 중심들은, 예를 들어, 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 탄탈룸 (Ta), 세슘 (Cs), 인듐 (In), 몰리브덴 (Mo), 또는 하프늄 (Hf) 을 포함한다.
노출된 막 또는 방사선 패터닝된 막은 임의의 유용한 금속 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 로부터 형성될 수 있다. 특정한 실시 예들에서, 금속 전구체는 화학식 (IV) 을 갖는 구조를 포함한다:
MaRb (IV),
여기에서, M은 금속 (예를 들어, 본 명세서에 기술된 임의의 금속, 예컨대, Sn, Te, Bi, Sb, Ta, Cs, In, Mo, 또는 Hf) 이고; R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고; a ≥ 1 (예를 들어, a 는 1, 2, 또는 3) 이고; 그리고 b ≥ 1 (예를 들어, b 는 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 또는 12) 이다.
제 2 양태에서, 본 개시는 레지스트 막으로서 패터닝 방사선-감응 막을 제공하기 위해 기판의 표면 상에 금속 전구체를 증착하는 단계; 패터닝 방사선 노출에 의해 레지스트 막을 패터닝하는 단계; 및 금속 킬레이터 및 용매의 존재 하에 노출된 막을 현상하는 단계를 포함하는 방법 (예를 들어, 레지스트 채용 방법) 을 아우른다 (encompass). 일부 실시 예들에서, 상기 증착하는 단계는 대응-반응 물질 (counter-reactant) (예를 들어, 본 명세서에 기술된 임의의 것과 같은 산소-함유 대응-반응 물질) 의 사용을 포함한다.
다른 실시 예들에서, 상기 패터닝하는 단계는 하나 이상의 방사선 노출된 영역들, 하나 이상의 방사선 노출되지 않은 영역들, 및 방사선 노출된 영역들 중 적어도 하나와 방사선 노출되지 않은 영역들 중 적어도 하나 사이에 배치된 계면 영역을 갖는 노출된 막을 제공한다. 일부 실시 예들에서, 방사선 노출 (예를 들어, 패터닝 방사선 노출) 은 진공 분위기에서 약 10 ㎚ 내지 약 20 ㎚ 범위의 파장을 갖는 EUV 노출을 포함한다.
추가의 실시 예들에서, 상기 현상하는 단계는 레지스트 내에 패턴을 제공하기 위해 계면 영역과 방사선 노출된 영역 또는 방사선 노출되지 않은 영역을 제거한다. 일부 실시 예들에서, 패턴은 금속 킬레이터 없이 현상된 패턴과 비교하여, 감소된 LER 및/또는 LWR을 포함한다.
일부 실시 예들에서, 금속 킬레이터는 (예를 들어, 방사선 노출된 영역 및/또는 방사선 노출되지 않은 영역과 비교하여) 계면 영역을 우선적으로 제거하도록 구성된다. 다른 실시 예들에서, 용매는 (예를 들어, 계면 영역과 비교하여) 방사선 노출된 영역들 또는 방사선 노출되지 않은 영역들 중 하나를 우선적으로 제거하도록 구성된다.
일부 실시 예들에서, 방법은 (예를 들어, 상기 현상 후) 막으로부터 금속 킬레이터 및/또는 금속-킬레이트 착체를 제거하는 단계를 더 포함한다.
제 3 양태에서, 본 개시는 증착 모듈; 패터닝 모듈; 현상 모듈; 및 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 머신 판독 가능 인스트럭션들을 포함하는 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함하는 장치 (예를 들어, 레지스트 막 형성을 위한 장치) 를 아우른다.
일부 실시 예들에서, 증착 모듈은 방사선-감응 막 (예를 들어, EUV-감응 막) 을 증착하기 위한 챔버를 포함한다. 다른 실시 예들에서, 패터닝 모듈은 300 ㎚ 미만 (sub-300 ㎚) 파장 방사선의 소스 (예를 들어, 소스가 30 ㎚ 미만 파장 방사선의 소스일 수 있음) 를 갖는 포토리소그래피 툴을 포함한다. 또 다른 실시 예들에서, 현상 모듈은 레지스트 막을 현상하기 위한 챔버를 포함한다.
특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 증착 모듈에서) 레지스트 막으로서 패터닝 방사선-감응 막을 형성하기 위해 반도체 기판의 상단 표면 상에 금속 전구체의 증착을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 패터닝 모듈에서) 패터닝 방사선 노출에 의해 직접적으로 300 ㎚ 미만 분해능 (예를 들어, 또는 30 ㎚ 미만 분해능) 으로 레지스트 막의 패터닝을 유발하여, 적어도 하나의 방사선 노출된 영역와 적어도 하나의 방사선 노출되지 않은 영역 사이에 배치된 계면 영역을 형성하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 특정한 실시 예들에서, 계면 영역은 (EUV 방사선에 더 고도로 노출된 또 다른 영역과 비교하여) EUV 방사선에 덜 노출되는 영역이거나; 적어도 하나의 EUV 노출된 영역과 적어도 하나의 EUV 노출되지 않은 영역 사이에 배치된 전이 영역이거나; 적어도 하나의 고도로 EUV 노출된 영역과 적어도 하나의 덜 EUV 노출된 영역 사이에 배치되는 전이 영역이다.
일부 실시 예들에서, 제어기 인스트럭션들은 금속 킬레이터 및 용매의 존재 하에 (예를 들어, 현상 모듈에서) 노출된 막의 현상을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 특정한 실시 예들에서, 상기 현상은 레지스트 막 내에 패턴을 제공하기 위해 계면 영역과 방사선 노출된 영역들 또는 방사선 노출되지 않은 영역들 중 적어도 하나를 제거한다. 다른 실시 예들에서, 머신 판독 가능 인스트럭션들은 계면 영역의 제거를 유발하기 위한 인스트럭션들을 포함한다. 또 다른 실시 예들에서, 머신 판독 가능 인스트럭션들은 EUV 노출된 영역들 또는 EUV 노출되지 않은 영역들의 제거를 유발하기 위한 인스트럭션들을 포함한다.
본 명세서의 임의의 실시 예에서, 금속 킬레이터는 디카르보닐 (예를 들어, 1,3-디케톤, 예컨대 아세틸아세톤), 하이드록시알킬, 하이드록시아릴, 디올 (예를 들어, 글리콜, 카테콜, 등), 카르복시산 (예를 들어, 포름산 또는 시트르산을 포함하는; RA1-CO2H, RA1은 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 카르복시알킬, 또는 선택 가능하게 치환된 아릴), 이산, 삼산, 하이드록시카르복시산 (예를 들어, 페놀계산, 예컨대 살리실산), 하이드록삼산 (예를 들어, RA1-C(O)NRA2OH, RA1 및 RA2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴), 하이드록시락톤 (예를 들어, 아스코르브산), 하이드록시케톤 (예를 들어, 하이드록시피리디논, 하이드록시피리미돈, 또는 하이드록시피론, 예컨대 본 명세서에 기술된 바와 같은 화학식 (I), 화학식 (II), 또는 화학식 (III) 의 구조를 갖는 것들), 또는 이들의 염을 포함한다. 다른 실시 예들에서, 금속 킬레이터는 백본 상에 배치된 복수의 모이어티들을 포함하고, 복수의 모이어티들은 하이드록실, 카르복실, 아미도, 아미노, 및 옥소로부터 선택된다. 비제한적인 모이어티들은 디카르보닐, 디올, 카르복시산, 이산, 삼산, 하이드록시카르복시산, 하이드록삼산, 하이드록시락톤, 하이드록시케톤, 또는 이들의 염의 1가 또는 다가 형태 중 하나 이상을 포함한다.
본 명세서의 임의의 실시 예에서, 노출된 막 또는 패터닝 방사선-감응 막은 금속 옥사이드 막 또는 유기금속 옥사이드 막 또는 유기금속 재료를 포함한다.
본 명세서의 임의의 실시 예에서, 노출된 막 또는 패터닝 방사선-감응 막은 EUV-감응 막을 포함한다.
본 명세서의 임의의 실시 예에서, 노출된 막 또는 패터닝 방사선-감응 막은 높은 패터닝 방사선-흡수 단면적 (absorption cross-section) 을 갖는 금속을 포함한다. 특정한 실시 예들에서, 금속은 높은 EUV 흡수 단면적을 포함한다. 다른 실시 예들에서, 금속 층은 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 탄탈룸 (Ta), 세슘 (Cs), 인듐 (In), 몰리브덴 (Mo), 또는 하프늄 (Hf), 뿐만 아니라 이들의 조합들을 포함한다.
본 명세서의 임의의 실시 예에서, 금속 전구체는 본 명세서에 기술된 바와 같이, 화학식 (IV), 화학식 (V), 화학식 (Va), 화학식 (VI), 화학식 (VII), 화학식 (VIII), 화학식 (IX), 화학식 (X), 및 화학식 (XI) 을 갖는 구조를 포함한다.
본 명세서의 임의의 실시 예에서, 증착하는 단계는 증기 형태의 금속 전구체를 제공하거나 증착하는 것을 포함한다. 다른 실시 예들에서, 증착하는 단계는 증기 형태의 대응-반응 물질을 제공하는 것을 포함한다. 특정한 실시 예들에서, 증착은 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 또는 분자 층 증착 (molecular layer deposition; MLD), 및 이들의 플라즈마-강화된 형태들을 포함한다.
본 명세서의 임의의 실시 예에서, 금속 층의 증착 단계는 대응-반응 물질을 제공하는 것을 더 포함한다. 비제한적인 대응-반응 물질들은 O2, O3, 물, 페록사이드 (peroxide), 수소 페록사이드 (hydrogen peroxide), 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시알코올, 폴리하이드록시알코올, 플루오르화된 디하이드록시알코올, 플루오르화된 폴리하이드록시알코올, 플루오르화된 글리콜, 포름산, 및 하이드록실 모이어티들 (moieties) 의 다른 소스들, 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응 물질을 포함한다. 추가 세부 사항은 다음과 같다.
정의들
본 명세서에서 상호 교환 가능하게 사용된 바와 같이, "아실옥시 (acyloxy)" 또는 "알카노일옥시 (alkanoyloxy)"는 옥시기를 통해 모 분자기 (parent molecular group) 에 부착된, 본 명세서에 규정된 바와 같은 아실 또는 알카노일기를 의미한다. 특정한 실시 예들에서, 알카노일옥시는 -O-C(O)-Ak이고, 여기서 Ak는 본 명세서에 규정된 바와 같은 알킬기이다. 일부 실시 예들에서, 치환되지 않은 알카노일옥시는 C2-7 알카노일옥시기이다. 예시적인 알카노일옥시기들은 아세톡시를 포함한다.
"알케닐 (alkenyl)"은 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알케닐기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알케닐렌"은 본 명세서에 규정된 바와 같이, 알케닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알케닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 알킬기이다. 예시적인 알콕시기들은 메톡시, 에톡시, 부톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬 (alkyl)" 및 접두사 "alk"는 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸 (Me), 에틸 (Et), n-프로필 (n-Pr), 이소프로필 (i-Pr), 사이클로프로필, n-부틸 (n-Bu), 이소부틸 (i-Bu), s-부틸 (s-Bu), t-부틸 (t-Bu), 사이클로부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실, 등을 의미한다. 알킬기는 고리형 (예를 들어, C3-24 사이클로알킬) 이거나 비고리형일 수 있다. 알킬기는 분지되거나 분지되지 않을 수 있다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬기는 본 명세서에 기술된 바와 같이, 알킬기가 하나 이상의 할로기들에 의해 치환된 할로알킬을 포함할 수 있다. 또 다른 예에서, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-Ak, 여기서 Ak는 선택 가능하게 치환된 C1-6 알킬임); (2) 아미노 (예를 들어, -NRN1RN2, 여기서 RN1 및 RN2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이거나, RN1 및 RN2는 각각이 부착된 질소 원자와 함께 취해져, 헤테로사이클릴기를 형성함); (3) 아릴; (4) 아릴알콕시 (예를 들어, -O-Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임); (5) 아릴로일 (예를 들어, -C(O)-Ar, 여기서 Ar은 선택 가능하게 치환된 아릴임); (6) 시아노 (예를 들어, -CN); (7) 카르복시알데하이드 (예를 들어, -C(O)H); (8) 카르복실 (예를 들어, -CO2H); (9) C3-8 사이클로알킬 (예를 들어, 1가 포화 또는 불포화 비방향족 고리형 C3-8 하이드로카본기); (10) 할로 (예를 들어, F, Cl, Br, 또는 I); (11) 헤테로사이클릴 (예를 들어, 달리 명시되지 않는 한, 질소, 산소, 인, 황, 또는 할로와 같은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자를 함유하는, 5-원 (membered) 고리, 6-원 고리 또는 7-원 고리); (12) 헤테로사이클릴옥시 (예를 들어, -O-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이클릴임); (13) 헤테로사이클릴로일 (예를 들어, -C(O)-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이클릴임); (14) 하이드록실 (예를 들어, -OH); (15) n-보호된 아미노; (16) 니트로 (예를 들어, -NO2); (17) 옥소 (예를 들어, O); (18)-CO2RA, 여기서 RA는 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임) 로 구성된 그룹으로부터 선택됨; (19)-C(O)NRBRC, 여기서 RB 및 RC 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임) 로 구성된 그룹으로부터 선택됨; 및 (20)-NRGRH, 여기서 RG 및 RH 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐 (예를 들어, 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 알킬), (e) C2-6 알키닐 (예를 들어, 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 알킬), (f) C4-18 아릴, (g) (C4-18 아릴) C1-6 알킬 (예를 들어, Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임), (h) C3-8 사이클로알킬, 및 (i) (C3-8 사이클로알킬) C1-6 알킬 (예를 들어, -Lk-Cy, 여기서 Lk는 본 명세서에 기술된 바와 같이, 선택 가능하게 치환된 알킬기의 2가 형태이고, Cy는 선택 가능하게 치환된 사이클로알킬임) 로 구성된 그룹으로부터 선택되고, 일 실시 예에서, 2 개의 기들이 카르보닐기를 통해 질소 원자에 결합되지 않는다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차 (primary), 2 차 (secondary), 또는 3 차 (tertiary) 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알킬렌 (alkylene)"은 본 명세서에 기술된 바와 같이, 알킬기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 알킬렌기들은 메틸렌, 에틸렌, 프로필렌, 부틸렌, 등을 포함한다. 일부 실시 예들에서, 알킬렌기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, 또는 C2-24 알킬렌기이다. 알킬렌기는 분지되거나 분지되지 않을 수 있다. 알킬렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐 (alkynyl)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알키닐기는 고리형 또는 비고리형일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐렌 (alkynylene)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알키닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알키닐렌기는 고리형 또는 비고리형일 수 있다. 알키닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알키닐렌기들은 -C≡C- 또는 -C≡CCH2- 를 포함한다.
"아미도 (amido)"는 -C(O)NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 취해져 본 명세서에 규정된 바와 같이 헤테로사이클릴기를 형성한다.
"아미노 (amino)"는 -NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 취해져 본 명세서에 규정된 바와 같이 헤테로사이클릴기를 형성한다.
"아릴 (aryl)"은 이로 제한되지 않고, 예를 들어, 인다닐, 테트라하이드로나프틸, 플루오레닐, 등과 같은 (예를 들어, 본 명세서에 규정된 바와 같은) 융합된 벤조-C4-8 사이클로알킬 라디칼들을 포함하는, 페닐, 벤질, 안트라세닐, 안트릴, 벤조사이클로부테닐, 벤조사이클로옥테닐, 비페닐릴, 크리세닐, 디하이드로인데닐, 플루오란테닐, 인다세닐, 인데닐, 나프틸, 페난트릴, 페녹시벤질, 피세닐, 피레닐, 터페닐, 등을 포함하는 임의의 탄소-기반 방향족기를 포함하는 기를 의미한다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로원자를 갖는 방향족기를 함유하는 기로서 규정되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로아릴 (non-heteroaryl) 은 헤테로원자를 함유하지 않는 방향족기를 함유하는 기를 규정한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 알킬에 대해 본 명세서에 기술된 임의의 것과 같은 1, 2, 3, 4, 또는 5 개의 치환기들로 치환될 수 있다.
"아릴렌 (arylenearylene)"은 본 명세서에 기술된 바와 같이, 아릴기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 아릴렌기들은 페닐렌, 나프틸렌, 비페닐렌, 트리페닐렌, 디페닐 에테르, 아세나프테닐렌, 안트릴렌, 또는 페난트릴렌을 포함한다. 일부 실시 예들에서, 아릴렌기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴렌기이다. 아릴렌기는 분지되거나 분지되지 않을 수 있다. 아릴렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 아릴렌기는 알킬 또는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"카르보닐 (carbonyl)"은 또한 >C=O로 나타낼 수 있는 -C(O)-기를 의미한다.
"카르복실 (carboxyl)"은 -CO2H기를 의미한다.
"카르복시알킬 (carboxyalkyl)"은 본 명세서에 규정된 바와 같은 하나 이상의 카르복시기들에 의해 치환된, 본 명세서에 규정된 바와 같은 알킬기를 의미한다.
"카르복시아릴 (carboxyaryl)"은 본 명세서에 규정된 바와 같은 하나 이상의 카르복시기들에 의해 치환된 본 명세서에 규정된 바와 같은 아릴기를 의미한다.
"카르복시산"은 하나 이상의 카르복실기를 포함하는 임의의 모이어티 또는 화합물을 의미한다. 예시적인, 비제한적인 카르복시산은 카르복시알킬 또는 카르복시아릴을 포함한다. 본 명세서에 사용된 바와 같이, "이산"은 2 개의 카르복실기를 갖는 카르복시산을 지칭하고, "삼산"은 3 개의 카르복실기를 갖는 카르복시산을 지칭한다.
"사이클로알케닐 (cycloalkenyl)"은 달리 명시되지 않는 한, 하나 이상의 이중 결합들을 갖는, 3 내지 8 개의 탄소들의 1가 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미한다. 사이클로알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알케닐기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로펜타디에닐, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.]헵틸 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"디카르보닐"은 본 명세서에 규정된 바와 같이, 2 개의 카르보닐기를 포함하는 임의의 모이어티 또는 화합물을 의미한다. 비제한적인 디카르보닐 모이어티들은 1,2-디카르보닐 (예를 들어, RC1-C(O)-C(O)RC2, 여기서 RC1 및 RC2 각각은 독립적으로, 선택 가능하게 치환된 알킬, 할로, 선택 가능하게 치환된 알콕시, 하이드록실, 또는 이탈기임); 1,3-디카르보닐 또는 1,3-디케톤 (예를 들어, RC1-C(O)-C(R1aR2a)-C(O)RC2, 여기서 RC1 및 RC2 각각은 독립적으로, 선택 가능하게 치환된 알킬, 할로, 선택 가능하게 치환된 알콕시, 하이드록실, 또는 이탈기이고, 여기서 R1a 및 R2a 각각은 독립적으로 H 또는 본 명세서에 규정된 바와 같은 알킬에 대해 제공된 선택 가능한 치환기임); 및 1,4-디카르보닐 (예를 들어, RC1-C(O)-C(R1aR2a)-C(R3aR4a)-C(O)RC2, 여기서 RC1 및 RC2 각각은 독립적으로, 선택 가능하게 치환된 알킬, 할로, 선택 가능하게 치환된 알콕시, 하이드록실, 또는 이탈기이고, 여기서 R1a, R2a, R3a, 및 R4a 각각은 독립적으로 H 또는 본 명세서에 규정된 바와 같은 알킬에 대해 제공된 선택 가능한 치환기임) 을 포함한다.
"디올"은 2 개의 하이드록실기들을 포함하는, 본 명세서에 규정된 바와 같은 하이드록시알킬 또는 하이드록시아릴을 의미한다.
"할로 (halo)"는 F, Cl, Br, 또는 I을 의미한다.
"할로알킬 (haloalkyl)"은 하나 이상의 할로로 치환된, 본 명세서에 규정된 바와 같은 알킬기를 의미한다.
"헤테로알케닐렌 (heteroalkenylene)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 규정된 바와 같은 알케닐렌기의 2가 형태를 의미한다. 헤테로알케닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알케닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 비제한적인 헤테로알케닐렌기들은 예를 들어, -NRN1-Ak-, -Ak-NRN1-, -O-Ak-, 또는 -Ak-O-를 포함하고, 여기서 Ak는 본 명세서에 규정된 바와 같이 선택 가능하게 치환된 알케닐렌이다.
"헤테로알킬렌 (heteroalkylene)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 규정된 바와 같은 알킬렌기의 2가 형태를 의미한다. 헤테로알킬렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 비제한적인 헤테로알킬렌기들은 예를 들어, -NRN1-Ak-, -Ak-NRN1-, -O-Ak-, 또는 -Ak-O- (여기서, Ak는 본 명세서에 규정된 바와 같이, 선택 가능하게 치환된 알킬렌임) 를 포함한다.
"헤테로사이클릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 포함하는 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 고리 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 고리 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic) 기, 트리사이클릭 (tricyclic) 기 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭들은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자히폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조피로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오피로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티아에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질술타밀 벤질술티밀, 비피라지닐, 비피리디닐, 카르바졸릴 (예를 들어, 4H-카르바졸릴), 카르볼리닐 (예를 들어, β-카르볼리닐), 크로마노닐, 크로마닐, 크로메닐, 시놀리닐, 코우마리닐, 시트디닐, 사이토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리디네티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카르바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조피로닐 (잔토닐 (xanthonyl)), 디벤조퀴녹살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤조제피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로이피리딜 (dihydroypyridyl), 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로 인돌릴, 디옥사닐, 디옥사지닐, 디옥신돌릴, 디옥시라닐, 디옥세닐 (dioxenyl), 디옥시닐 (dioxinyl), 디옥소벤조푸라닐, 디옥소릴 (dioxolyl), 디옥소테트라하이드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아니닐, 호모피페라지닐, 호모피페리디닐, 하이포잔티닐, 하이단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴 (예를 들어, 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴 (예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일 (isoindazoyl), 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디닐, 이속사졸릴, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르포리닐, 나프트인다졸릴, 나프트인돌일, 나프트이리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티옥솔릴, 나프토트리아졸릴, 나프톡신돌릴, 나프트이리디닐, 옥타하이드로이소퀴놀리닐, 옥사바이사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐 (oxepanyl), 옥세타노닐 (oxetanonyl), 옥세타닐, 옥세틸, 옥스테나일 (oxtenayl), 옥스인돌릴 (oxindolyl), 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐 (벤조티오푸라닐 (benzothiofuranyl)), 페녹사티이닐, 페녹사지닐, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐 (예를 들어, 4-피페리도닐), 프테리디닐 (pteridinyl), 퓨리닐, 피라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐 (예를 들어, 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴 (예를 들어, 2H-피롤릴), 피릴리움, 퀴나졸리닐, 퀴놀리닐, 퀴놀리지닐 (예를 들어, 4H-퀴놀리지닐), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 숙신이미딜, 술포라닐, 테트라하이드로푸라닐, 테트라하이드로푸릴, 테트라하이드로이소퀴놀리닐, 테트라하이드로이소퀴놀릴, 테트라하이드로피리디닐, 테트라하이드로피리딜 (피페리딜), 테트라하이드로피라닐, 테트라하이드로피로닐, 테트라하이드로퀴놀리닐, 테트라하이드로퀴놀릴, 테트라하이드로티에닐, 테트라하이드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐 (예를 들어, 6H-1,2,5-티아디아지닐 또는 2H,6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티옥사닐, 티옥소릴, 타이미디닐, 타이미닐, 트리아지닐, 트리아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 우리실, 우리디닐, 잔테닐 (xanthenyl), 잔티닐, 잔티오닐, 등, 뿐만 아니라 이들의 개질된 형태들 (예를 들어, 하나 이상의 옥소 및/또는 아미노를 포함함) 및 이들의 염들을 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이클릴기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"하이드록삼산"은 본 명세서에 규정된 바와 같이, 하이드록실기를 대체하는 하이드록시아미노기를 갖는 카복실산을 의미한다. 비제한적인 하이드록삼산은 RA1-C(O)NRA2OH를 포함하고, 여기서 RA1 및 RA2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다.
"하이드록실 (hydroxyl)"은 -OH를 의미한다.
"하이드록시알킬 (hydroxyalkyl)"은 하나 이하의 하이드록실기가 알킬기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기들에 의해 치환된, 본 명세서에 규정된 바와 같은 알킬기를 의미하고, 하이드록시메틸, 디하이드록시프로필, 등으로 예시된다.
"하이드록시아릴 (hydroxyaryl)"은 하나 이하의 하이드록실기가 아릴기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 규정된 바와 같은 아릴기를 의미하고, 하이드록시페닐, 디하이드록시페닐, 등으로 예시된다.
"하이드록시카르복시산"은 적어도 하나의 하이드록실기 및 적어도 하나의 카르복실기를 갖는 임의의 모이어티 또는 화합물을 의미한다.
"하이드록시케톤"은 치환체들로서 카르보닐기 및 하이드록실기를 갖는 임의의 모이어티 또는 화합물을 의미한다. 특정한 예들에서, 카르보닐기는 케톤 또는 아미드를 형성할 수 있다. 비제한적인 하이드록시케톤들은 RA1-C(O)-RA2를 포함하고, 여기서 RA1 및 RA2 각각은 선택 가능하게 치환된 알킬렌, 선택 가능하게 치환된 알케닐렌, 선택 가능하게 치환된 헤테로알킬렌, 또는 선택 가능하게 치환된 헤테로알케닐렌이고, RA1 및 RA2 중 적어도 하나는 하이드록실 치환을 포함하고, 그리고 여기서 RA1 및 RA2는 함께 취해져 사이클릭기 (예를 들어, 본 명세서에 규정된 바와 같은 헤테로사이클릴) 를 형성한다.
"하이드록시락톤"은 하나 이상의 하이드록실기들을 갖는 고리형 에스테르를 의미한다. 비제한적인 하이드록시락톤들은 RA1-C(O)-ORA2를 포함하고, 여기서 RA1 및 RA2 각각은 선택 가능하게 치환된 알킬렌 또는 선택 가능하게 치환된 알케닐렌이고, RA1 및 RA2 중 적어도 하나는 하이드록실 치환을 포함하고, 그리고 여기서 RA1 및 RA2는, 함께 취해져, 사이클릭기 (예를 들어, 본 명세서에 규정된 바와 같은 헤테로사이클릴) 를 형성한다.
"옥소 (oxo)"는 =O기를 의미한다.
본 명세서에 사용된 바와 같이, 용어들 "상단 (top)", "하단 (bottom)", "상부 (upper)", "하부 (lower)", "위 (above)" 및 "아래 (below)"는 구조체들 간의 상대적인 관계를 제공하도록 사용된다. 이들 용어들의 사용은 특정한 구조체가 장치의 특정한 위치에 위치되어야 한다는 것을 나타내거나 요구하지 않는다.
본 발명의 다른 특징들 및 장점들은 이하의 기술 및 청구항들로부터 자명해질 것이다.
도 1은 막을 패터닝하고 현상하기 위한 비제한적인 방법의 개략도를 제시한다.
도 2a 및 도 2b는 킬레이터 (chelator) 의 존재 하에 막을 패터닝하고 현상하기 위한 비제한적인 방법의 개략도를 제시한다.
도 3a 및 도 3b는 증착 동안 금속 전구체 및 현상 동안 킬레이터를 채용하는 비제한적인 방법들의 개략적인 예시들 및 도면들을 제시한다. (A) 포지티브 톤 레지스트 (positive tone resist) (경로 i) 또는 네거티브 톤 레지스트 (negative tone resist) (경로 ii) 를 제공하는 제 1 방법 (300); 및 (B) 예시적인 방법 (350) 의 블록도를 제공한다.
도 4는 멀티-스테이션 프로세싱 툴 (400) 의 일 실시 예의 개략적인 예시를 제시한다.
도 5는 유도 커플링 플라즈마 장치 (500) 의 일 실시 예의 개략적인 예시를 제시한다.
도 6은 반도체 프로세스 클러스터 툴 아키텍처 (600) 의 일 실시 예의 개략적인 예시를 제시한다.
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특히, 본 개시는 현상 동안 하나 이상의 금속 킬레이터들 (chelators) 의 사용에 관한 것이다. 예를 들어, 금속 킬레이터들은 금속 이온들을 강하게 결합할 수 있고 그리고 노출된 포토레지스트 (photoresist; PR) 영역과 노출되지 않은 PR 영역 사이의 거친 계면에 존재할 가능성이 있는, 약하게 결합된 금속들을 선택적으로 제거할 수 있다. 따라서 이러한 금속 킬레이터들은 킬레이터 농도 및/또는 킬레이터의 화학적 아이덴티티 (chemical identity) 를 조작함으로써 라인-폭-거칠기 (line-width-roughness; LWR)/라인-에지-거칠기 (line-edge-roughness; LER) 을 조작하도록 튜닝 노브를 제공한다. 메커니즘에 의해 제한되지 않고, 금속 킬레이터들은 화학적 결합들의 형성으로부터 발생하는 열역학적 이점에 따라 금속 이온들을 다소 (more or less) 강하게 결합하는 한편, 킬레이터 분자의 입체 장애의 양 및 물리적 사이즈에 종속하하는 레이트로 금속들을 결합한다. 그 결과, 킬레이터의 농도 및 화학적 아이덴티티의 신중한 선택은 노출된 영역과 노출되지 않은 영역의 계면에서 거칠기를 직접적으로 조작하도록 튜닝 노브를 제공할 수 있다.
금속 킬레이터 없이, 습식 현상을 위한 용매 최적화는 노출된 영역/노출되지 않은 영역 사이의 용해도 차들의 최적화와 LER의 균형을 맞춘다 (balance). 그러나, 습식 현상제에서 가용성 (soluble) 금속 킬레이터의 사용은 이 계면에서 거칠기를 직접적으로 처리하면서, 노출된 영역과 노출되지 않은 영역의 콘트라스트에 최적화된 용매를 허용한다. 용매 선택과 금속 킬레이터들로부터의 계면에서의 개선 사이의 직교성은 노출된 영역/노출되지 않은 영역 사이의 현상제 콘트라스트의 최적화를 허용하면서, 또한 금속 킬레이터 없이 제어하기 어려울 수도 있는 노출된 영역/노출되지 않은 영역 사이의 계면에서 라인-에지-거칠기를 최적화한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 (describe) 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 하부 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 화학 증폭형 레지스트들 (chemically amplified resists; CARs) 일 수도 있다. CAR들에 대한 대안은, 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로 인용된, 미국 특허 공보 번호들 US 2017/0102612, US 2016/0216606 및 US 2016/0116839에 기술되고, (OR, Corvallis 소재의) Inpria Corp.로부터 입수 가능한 것과 같은 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 "EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS"인 미국 특허 제 9,996,004 호, 및/또는 2019년 5월 9일에 출원되고 명칭이 "METHODS FOR MAKING EUV PATTERNABLE HARD MASKS"인 국제 공개 공보 WO2019/217749로서 공개된 국제 출원 번호 PCT/US19/31618 호에 기술된 바와 같이, 30 ㎚ 미만의 (sub-30 ㎚) 패터닝 분해능을 제공하는 진공 분위기에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
직접 포토패터닝 가능한 EUV 레지스트 또는 심자외선 (deep ultraviolet; DUV) 레지스트는 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 또는 DUV 광자 흡수를 강화시킬 수 있고, 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다.
일반적으로, 레지스트들은 레지스트의 화학 물질 및/또는 현상제의 용해도 또는 반응성을 제어함으로써 포지티브 톤 레지스트 (positive tone resist) 또는 네거티브 톤 레지스트 (negative tone resist) 로서 채용될 수 있다. 네거티브 톤 레지스트 또는 포지티브 톤 레지스트로서 역할을 할 수 있는 EUV 또는 DUV 레지스트를 갖는 것이 유리할 것이고, 그리고 본 개시는 네거티브 또는 포지티브 톤 레지스트로서 막들의 사용 및 현상을 아우른다 (encompass).
금속 킬레이터(들)를 채용하는 방법들
본 개시는 일반적으로 본 명세서에 기술된 바와 같이, 금속 킬레이터를 채용하는 임의의 유용한 방법을 포함한다. 이러한 방법들은 본 명세서에 기술된 바와 같이, 임의의 유용한 리소그래피 프로세스들, 증착 프로세스들, 방사선 노출 프로세스들, 현상 프로세스들, 및 도포-후 (post-application) 프로세스들을 포함할 수 있다.
이하가 EUV 프로세스들과 관련된 기법들을 기술할 수도 있지만, 이러한 기법들은 또한 다른 차세대 리소그래피 기법들에 적용 가능할 수도 있다. EUV (일반적으로 약 13.5 ㎚), DUV (일반적으로 엑시머 레이저 소스들 (excimer laser sources) 을 사용하여 248 ㎚ 또는 193 ㎚ 범위의 심자외선), X-선 (X-선 범위의 더 낮은 에너지 범위의 EUV를 포함함), 및 e-빔 (넓은 에너지 범위를 포함함) 을 포함하는 다양한 방사선 소스들이 채용될 수도 있다.
EUV 방사선에 대한 리소그래피 노출 후, EUV 노출된 영역 및 EUV 노출되지 않은 영역 모두 PR 막 내에 존재한다. 도 1에서 알 수 있는 바와 같이, EUV-감응 막 (112) 은 기판 (111) 의 상단 표면 상에 배치될 (dispose) 수 있다. 막 (112) 은 EUV 노출된 영역들 (112b) 및 EUV 노출되지 않은 영역들 (112c) 을 제공하도록 EUV 방사선에 노출될 수 있다. PR 막에서, 방사선 노출은 활성화된 반응성 중심들을 생성하도록 사용될 수 있고, 이는 결국 포지티브 톤 레지스트 또는 네거티브 톤 레지스트를 각각 제공하도록 막을 불안정하게 하거나 안정화시키는 반응들을 촉진할 수 있다. 예를 들어, 네거티브 톤 금속-함유 레지스트 막에 대해, 노출된 영역들은 막의 교차 결합 (crosslink) 및 안정화를 촉진하는 EUV-활성화된 반응성 중심들을 포함할 수 있다. 이러한 레지스트의 현상 시, 노출된 영역들이 유지되는 한편, 노출되지 않은 영역들은 막의 덜 안정한 영역들의 용해에 의해 제거된다.
이러한 노출된 영역과 노출되지 않은 영역 사이에, PR의 영역이 가장 많이 노출된 영역으로부터 완전히 노출되지 않은 영역으로 급격하게 (sharply) 전이하는 계면 영역들이 있다. 알 수 있는 바와 같이, 노출된 막은 노출된/노출되지 않은 영역들 사이에 배치된 계면 영역들 (112a) 을 특성화될 수 있다. 이러한 계면 영역들에서, 막은 EUV에 노출될 수도 있고, 따라서 EUV-노출된 반응성 중심들을 제공한다. 그러나, 반응들은 완료로 진행되지 않을 수도 있고, 따라서 완전히 반응되지도 않고 완전히 반응하지 않지도 않은 영역들을 제공한다.
현상 화학 물질이 이러한 EUV-매개 반응들의 완료에 의존한다면, 이러한 계면 영역들의 현상은 여전히 어렵다. 도 1에서 알 수 있는 바와 같이, 노출된 막이 이러한 현상 화학 물질로 현상된다면 (102), 제공된 패턴은 노출된 영역 (112b) 뿐만 아니라 계면 영역 (112a) 을 또한 포함한다. 계면 영역의 존재는 막 내 패턴의 충실도를 감소시킬 수 있고 증가된 거칠기 (예를 들어, 증가된 LER 및/또는 LWR) 에 기여할 수 있다.
반응되지 않은 PR 영역 또는 부분적으로 반응된 PR 영역을 타깃할 수 있는 금속 킬레이터를 사용함으로써, 계면 영역들이 제거될 수 있다. 도 2a에서 알 수 있는 바와 같이, 비제한적인 방법은 기판 상에 배치된 막 (212) 을 EUV 방사선에 노출시켜, 계면 영역들 (212a), EUV 노출된 영역들 (212b), 및 EUV 노출되지 않은 영역들 (212c) 을 제공하는 단계를 포함할 수 있다. EUV 노출된 영역들 (212b) 내에서, PR은 금속-산소 (M-O) 및 금속-산소-금속 (M-O-M) 결합들을 형성할뿐만 아니라 막으로부터 리간드들을 방출하도록 교차 결합된다. 본 명세서에 기술된 바와 같이, 이러한 EUV 노출된 영역들은 또한 반응되지 않은 PR을 포함할 수도 있다. EUV 노출되지 않은 영역 (212c) 내에서, PR은 EUV-절단 가능한 불안정한 리간드들이 일반적으로 유지되는 금속 전구체의 초기 화학적 구조를 유지한다. 비제한적인 EUV-절단 가능한 불안정한 리간드들은 예컨대 화학식 (IV) 또는 화학식 (V) 의 R에 대해 본 명세서에 기술된 임의의 리간드를 포함한다.
계면 영역 (212a) 내에서, 금속 중심들이 어느 정도의 M-O-M 상과의 교차 결합, 어느 정도의 불안정한 리간드 유지, 및 어느 정도의 M-OH 중간체들의 형성에 참여하는 다양한 화학적 종이 관찰된다. 이 영역 내에서, 약하게 결합된 금속 종은 금속 킬레이터들을 사용함으로써 제거될 수 있다. 일부 예들에서, 킬레이터는 EUV-노출된 교차 결합된 금속 종을 결합하는 것 (250) 과 비교하여, EUV-노출된 약하게 결합된 금속 종에 우선적으로 결합하도록 (255) 선택될 수 있다. 이러한 킬레이터를 사용하여 노출된 막을 현상 (202) 한 후, 현상된 막은 노출된 영역들 (212b) (도 2b) 을 포함하는 패턴을 포함할 수 있다.
특정한 예들에서, 금속 킬레이터는 (예를 들어, 가변하는 결합 강도들로) 금속 이온들을 결합하면서, 발생되는 금속-킬레이트 착체를 현상제 용매 (예를 들어, 유기 용매) 에 가용성이고 안정하게 유지하는 전하-중성 킬레이터이다. 이와 같이, 금속 킬레이터는 계면 영역들에서 약하게 결합된 금속 이온들을 찾고 금속-킬레이트 착체를 형성하도록 이러한 금속 이온들을 결합하기 위해 습식 현상제에 사용된 유기-가용성 금속 킬레이터일 수 있다. 금속-킬레이트 착체가 현상제 용매 내에서 가용성으로 남아 있다면, 이러한 착체들은 습식 현상제의 나머지가 제거될 때 웨이퍼로부터 제거될 수 있다. 비제한적인 킬레이터들은 아세틸아세톤, 포름산, 및 하이드록시피리디논들의 유도체들, 뿐만 아니라 본 명세서에 기술된 다른 것들을 포함한다.
킬레이터의 선택은 임의의 유용한 화학적 및 물리적 특성에 종속될 수 있다. 일 예에서, 킬레이터는 노출된 영역과 노출되지 않은 영역 사이의 계면이 킬레이터의 존재에 의해 얼마나 공격적으로 (aggressively) 영향을 받을지 튜닝하도록 사용될 수 있는, 금속 결합 강도에 대해 선택된다. 금속 결합 강도에 대한 비제한적인 결정들은 약 5 내지 약 50이 되는 안정성 상수 (예를 들어, log K 또는 log β) 를 포함할 수 있다. 또 다른 예에서, 폴리머 백본 (backbone) 을 덧붙임으로써 조작될 수 있는 킬레이터의 물리적 사이즈 (예를 들어, 약 0.1 ㎚ 내지 약 10 ㎚) 는 피처들이 금속 킬레이터의 사용을 통해 영향을 받는 사이즈 (예를 들어, 10 ㎚ 이상의 임계 치수) 또는 기하 구조 (예를 들어, 편평한) 를 튜닝하도록 사용될 수 있다.
습식 현상 동안 금속 킬레이터들의 존재는 현상된 PR 패턴들의 LER을 개선하기 위해 부가적인 튜닝 노브를 제공할 수 있다. 상이한 유기-가용성 금속 킬레이터들을 사용하여, 특정한 유기금속 프로세스들은 노출된 영역과 노출되지 않은 영역 사이의 계면에서 PR의 상이한 타입들의 거친 스폿들을 제거하기에 충분한 결합 강도를 갖는 적절한 킬레이터를 선택함으로써 최적화된 LER을 가질 수 있다. 이러한 킬레이터-용매 조합들은 화합물 각각의 화학적 타입, 뿐만 아니라 용매 또는 용매 혼합물 내 특정한 농도의 킬레이터에 의해 최적화될 수 있다.
금속 종의 제거를 촉진하는 것에 더하여, 이러한 킬레이터들의 사용은 PR 막에 의해 또는 PR 막 내에 생성된 휘발성 화합물들의 제거를 용이하게 할 수 있다. 비제한적인 휘발성 화합물들은 막에 존재할 수도 있는 이산화탄소, 일산화탄소, 알켄들, 방향족들, 및 멀티-알킬 주석 종을 포함한다. 비제한적인 종은 SnRxLy를 포함하고, 여기서 R 각각은 독립적으로, 선택 가능하게 (optionally) 치환된 알킬이고, L 각각은 독립적으로, 디알킬아미노 (예를 들어, -NMe2), 하이드록실, 브리지 옥사이드 (bridging oxide), 또는 또 다른 리간드이고, 4 ≥ x ≥ 1, 그리고 3 ≥ y ≥ 0이다.
이러한 방식으로, 그렇지 않으면 가스를 배출하고 설비들 및 장비를 오염시킬 수도 있는 휘발성 화합물들은 용매 내에서 착체로서 용해될 수 있다. 더욱이, 킬레이터들은 또한 EUV 노출 동안 생성될 수 있고 리소그래피로 패터닝된 PR의 표면 상에 배치될 수 있는 난용성 (poorly-soluble) 화학적 부산물들을 제거하도록 사용될 수 있다.
계면 영역의 제거, 뿐만 아니라 킬레이트화된 착체들의 존재는 임의의 유용한 방식으로 특성화될 수 있다. 예를 들어, 킬레이터에 의한 금속 중심들의 용해는 현상제 용액 내 금속 킬레이트 착체의 존재를 측정함으로써 검출될 수 있다. 비제한적인 검출 방법들은 NMR (nuclear magnetic resonance) 분광법, LC-MS (liquid plasma-mass spectrometry), 고성능 액체 크로마토그래피 (high performance liquid chromatography; HPLC), 등의 사용을 포함한다.
도 3a는 기판 (311) 의 상단 표면 상에 막 (312) 을 증착하는 단계 (301) 를 포함하는 예시적인 방법 (300) 을 제공한다. 방법은 증착된 EUV-감응 막을 처리하기 위한 단계들을 더 포함할 수 있다. 이러한 단계들은 막을 생성하는 데 필요하지 않지만, PR로서 막을 사용하는 데 유용할 수 있다. 따라서, 방법 (300) 은 EUV 노출된 영역들 (312b) 및 EUV 노출되지 않은 영역들 (312c), 뿐만 아니라 그 사이에 배치된 계면 영역들을 갖는 노출된 막을 제공하도록 EUV 노출 (302) 에 의해 막을 패터닝하는 단계를 더 포함한다. 패터닝은 EUV 투과 (transparent) 영역들 및 EUV 불투과 영역들을 갖는 마스크 (314) 의 사용을 포함할 수 있고, EUV 빔들 (315) 은 EUV 투과 영역을 통해 그리고 막 (312) 내로 투과된다. EUV 노출은, 예를 들어, 진공 분위기에서 약 10 ㎚ 내지 약 20 ㎚의 범위 (예를 들어, 진공 분위기에서 약 13.5 ㎚) 의 파장을 갖는 노출을 포함할 수 있다.
일단 패턴이 제공되면, 방법 (300) 은 하나 이상의 금속 킬레이터(들)의 존재 하에 막을 현상하여 (303), (i) 포지티브 톤 레지스트 막 내에 패턴을 제공하기 위해 EUV 노출된 영역들을 제거하거나 (ii) 네거티브 톤 레지스트 내에 패턴을 제공하기 위해 EUV 노출되지 않은 영역들을 제거하는 단계를 포함할 수 있다. 본 명세서에 기술된 바와 같이, 계면 영역들은 EUV 노출/노출되지 않은 영역 사이에 존재할 수도 있다. 따라서, 일 실시 예에서, 도 3a의 경로 (i) 는 EUV 노출 후 형성된 약하게 결합된 금속 종에 결합하도록 금속 킬레이터를 사용함으로써 용이하게 될 수 있는, EUV 노출된 영역들 (312b) 및 계면 영역들을 선택적으로 제거하는 것을 발생시킨다. 대안적으로, 도 3a의 경로 (ii) 는 EUV 노출된 영역에 존재하는 금속 종 (예를 들어, 교차 결합된 금속 또는 교차 결합된 금속-유기 재료) 과 비교하여, EUV 노출되지 않은 영역들에 존재하는 더 약하게 결합된 금속 종을 결합하도록 금속 킬레이터에 의해 용이하게 될 수 있는, EUV 노출된 영역들 (312b) 및 계면 영역들을 유지하게 한다.
현상 단계들은 액체상의 (예를 들어, 금속 킬레이터들로서) 수성 또는 유기 용매들의 사용을 포함할 수 있다. 부가적인 현상 프로세스 조건들이 본 명세서에 기술된다.
선택 가능한 단계들은 본 명세서의 임의의 방법에서 EUV-감응 막(들), 기판, 포토레지스트 층(들), 및/또는 캡핑 층(들)을 더 조절, 개질, 또는 처리하도록 수행될 수도 있다. 도 3b는 선택 가능한 동작들을 포함하는 다양한 동작들을 갖는 예시적인 방법 (350) 의 플로우 차트를 제공한다. 알 수 있는 바와 같이, 동작 (352) 에서, 막이 금속 전구체를 채용하여 증착된다.
선택 가능한 동작 (354) 에서, 기판의 배면 표면 또는 베벨이 세정될 수 있고, 그리고/또는 이전 단계에서 증착된 포토레지스트의 에지 비드 (edge bead) 가 제거될 수 있다. 이러한 세정 단계 또는 제거 단계는 포토레지스트 층을 증착한 후 존재할 수도 있는 입자들을 제거하는 데 유용할 수 있다. 제거 단계는 습식 금속 옥사이드 (MeOx) 에지 비드 제거 (EBR) 단계를 사용하여 웨이퍼를 프로세싱하는 것을 포함할 수 있다.
또 다른 예에서, 방법은 증착된 포토레지스트 층의 도포 후 소성 (post application bake; PAB) 을 수행하여 막을 형성하기 위한 층으로부터 잔류 수분을 제거하거나 임의의 유용한 방식으로 포토레지스트 층을 전처리하는 선택 가능한 동작 (356) 을 포함할 수 있다. 선택 가능한 PAB는 막 증착 후 그리고 EUV 노출 전에 발생할 수 있고; 그리고 PAB는 막의 EUV 감도를 상승시키기 위해 열적 처리, 화학적 노출, 및 수분의 조합을 수반하여, 막의 패턴을 현상하기 위해 EUV 도즈 (dose) 를 감소시킨다. 특정한 실시 예들에서, PAB 단계는 약 100 ℃ 초과의 온도에서 또는 약 100 ℃ 내지 약 200 ℃ 또는 약 100 ℃ 내지 약 250 ℃ 의 온도에서 수행된다. 일부 예들에서, PAB는 이 방법에서 수행되지 않는다. 다른 예들에서, PAB 단계는 약 180 ℃ 미만, 약 200 ℃ 미만, 또는 약 250 ℃ 미만의 온도에서 수행된다.
동작 (358) 에서, 막은 패턴을 현상하도록 EUV 방사선에 노출된다. 일반적으로, EUV 노출은 막의 화학적 조성의 변화를 유발하고, 막의 일부를 제거하기 위해 사용될 수 있는 에칭 선택도의 콘트라스트를 생성한다. 이러한 콘트라스트는 본 명세서에 기술된 바와 같이 포지티브 톤 레지스트 또는 네거티브 톤 레지스트를 제공할 수 있다.
동작 (360) 은 노출된 막의 선택 가능한 노출-후 소성 (post-exposure bake; PEB) 이고, 이에 따라 잔류 수분을 더 제거하고, 막 내 화학적 응결을 촉진하거나, 노출된 막의 에칭 선택도의 콘트라스트를 상승시키거나, 임의의 유용한 방식으로 막을 후-처리한다. PEB에 대한 온도의 비제한적인 예들은, 예를 들어 약 90 ℃ 내지 600 ℃, 100 ℃ 내지 400 ℃, 125 ℃ 내지 300 ℃, 170 ℃ 내지 250 ℃ 이상, 190 ℃ 내지 240 ℃ 뿐만 아니라 본 명세서에 기술된 다른 온도들을 포함한다. 다른 예들에서, PEB 단계는 약 180 ℃ 미만, 약 200 ℃ 미만, 또는 약 250 ℃ 미만의 온도에서 수행된다.
일 예에서, 노출된 막은 스트립핑제 (예를 들어, HCl, HBr, H2, Cl2, Br2, BCl3, 또는 이들의 조합들과 같은 할라이드-기반 에천트, 수성 알칼리 현상 용액; 또는 유기 현상 용액) 또는 포지티브 톤 현상제에 노출 시 레지스트의 EUV 노출된 부분들 내에서 반응성을 촉진하도록 (예를 들어, 선택 가능하게 다양한 화학 종의 존재 하에) 열적으로 처리될 수 있다. 또 다른 예에서, 노출된 막은 레지스트의 EUV 노출된 부분들 내 리간드들을 더 교차-결합하도록 열적으로 처리될 수 있고, 이에 따라 스트립핑제 (예를 들어, 네거티브 톤 현상제) 에 노출 시 선택적으로 제거될 수 있는 EUV 노출되지 않은 부분들을 제공한다.
이어서, 동작 (362) 에서, PR 패턴은 하나 이상의 금속 킬레이터(들)의 존재 하에 현상된다. 현상의 다양한 실시 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤) 노출되지 않은 영역들이 제거된다 (네거티브 톤). 다양한 실시 예들에서, 이들 단계들은 이러한 금속 킬레이터(들)를 포함하는 습식 프로세스들일 수도 있다.
또 다른 예에서, 방법은 (예를 들어, 현상 후) 패터닝된 막을 경화시켜, 기판의 상단 표면 상에 배치된 레지스트 마스크를 제공하는 단계를 포함할 수 있다. 경화 단계들은 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 CO2 플라즈마) 에 노출, 자외선 방사선에 노출, (예를 들어, 약 180 ℃ 내지 약 240 ℃의 온도에서) 어닐링 (annealing), 열적 소성, 또는 현상-후 소성 (post-development baking; PDB) 단계에 유용할 수 있는 이들의 조합들의 단계들과 같이 EUV 노출되지 않은 영역 또는 노출된 영역을 추가로 교차 결합시키거나 반응시키기 위한 임의의 유용한 프로세스를 포함할 수 있다. 다른 예들에서, PDB 단계는 약 180 ℃ 미만, 약 200 ℃ 미만, 또는 약 250 ℃ 미만의 온도에서 수행된다. 부가적인 도포 후 프로세스들이 본 명세서에 기술되고 그리고 본 명세서에 기술된 임의의 방법에 대해 선택 가능한 단계로서 수행될 수도 있다.
임의의 유용한 타입의 화학 물질 (chemistry) 이 증착 단계, 패터닝 단계, 스트립핑 단계, 및/또는 현상 단계 동안 채용될 수 있다. 이러한 단계들은 가스상 (gaseous phase) 의 화학 물질을 채용하는 건식 프로세스들 또는 습식상 (wet phase) 의 화학 물질을 채용하는 습식 프로세스들에 기초할 수도 있다. 일 예에서, 예컨대 Inpria Corp.로부터 입수 가능한 스핀-온 EUV 포토레지스트들 (습식 프로세스) 은 본 명세서에 기술된 바와 같이 다른 습식 또는 건식 프로세스들과 결합될 수도 있다. 다양한 실시 예들에서, 웨이퍼 세정은 본 명세서에 기술된 바와 같이 습식 프로세스일 수도 있다. 또 다른 실시 예들에서, 습식 현상 프로세스는 스핀-온 EUV 포토레지스트 또는 건식 증착된 EUV 포토레지스트와 조합하여 사용될 수도 있다.
금속 킬레이터들
금속 킬레이터는 금속 중심들 (예를 들어, 전이 금속 중심들) 에 결합할 수 있는 임의의 리간드를 포함할 수 있다. 비제한적인 리간드들은 하이드록실, 카르복실, 아미도, 아미노, 및/또는 옥소 모이어티들 (moieties) 을 갖는 것들을 포함한다. 금속 킬레이터는 이러한 리간드들을 갖는 임의의 유용한 화합물일 수 있고, 화합물들은 폴리머들, 디카르보닐들 (예를 들어, 디케톤들), 케톤들, 하이드록시케톤들 (예를 들어, 하이드록시피리디논들, 하이드록시피리미돈들, 또는 하이드록시피론들), 알코올들 (예를 들어, 디올들, 트리올들, 등), 산들 (예를 들어, 카르복시산들, 이산들, 삼산들, 하이드록시카르복시산들, 등), 하이드록시산들 (예를 들어, 하이드록시카르복시산들), 아미드들, 하이드록시아미드들, 하이드록삼산들, 락톤들, 하이드록시락톤들 (예를 들어, 아스코르브산), 뿐만 아니라 이들의 치환된 버전들을 포함할 수 있다. 또 다른 비제한적인 금속 킬레이터들 (예를 들어, 건식 금속 킬레이터들의 습식 버전들) 은 2020년 12월 8일 출원된, 명칭이 "PHOTORESIST DEVELOPMENT WITH ORGANIC VAPOR"인 미국 가출원 제 63/199,129 호에 기재된 임의의 킬레이터일 수 있으며, 이는 본 명세서에 참조로서 인용된다.
다른 실시 예들에서, 금속 킬레이터는 디카르보닐이거나 디카르보닐을 포함한다. 비제한적인 디카르보닐들은 1,3-디케톤, 예를 들어, RA1-C(O)-C(R1aR2a)-C(O)RA2를 포함하고, 여기서 RA1 및 RA2 각각은 독립적으로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 할로, 선택 가능하게 치환된 알콕시, 하이드록실, 선택 가능하게 치환된 아릴, 또는 이탈기이거나 또는 선택 가능하게 RA1 및 RA2는 함께 취해질 때, 사이클릭기 (예를 들어, 선택 가능하게 치환된 사이클로알킬 또는 선택 가능하게 치환된 헤테로사이클릴) 를 형성하고; 그리고 여기서 R1a 및 R2a 각각은 독립적으로 H 또는 본 명세서에 규정된 바와 같은 알킬에 대해 제공된 선택 가능한 치환기이다. 특정한 디카르보닐은 아세틸아세톤을 포함한다.
일부 실시 예들에서, 금속 킬레이터는 알코올이거나 알코올을 포함한다. 비제한적인 알코올은 RA1-OH이고, 여기서 RA1은 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 하이드록시아릴, 선택 가능하게 치환된 카르복시알킬, 선택 가능하게 치환된 카르복시아릴, 또는 선택 가능하게 치환된 아릴이다. 비제한적인 알코올들은 카테콜 및 글리콜을 포함한다.
다른 실시 예들에서, 금속 킬레이터는 카르복시산이거나 카르복시산을 포함한다. 비제한적인 카르복시산은 RA1-CO2H이고, 여기서 RA1은 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 하이드록시아릴, 선택 가능하게 치환된 카르복시알킬, 선택 가능하게 치환된 카르복시아릴, 또는 선택 가능하게 치환된 아릴이다. 또 다른 비제한적인 카르복시산은 HO2C-RAk-CO2H이고, 여기서 RAk는 결합, 선택 가능하게 치환된 알킬렌, 또는 선택 가능하게 치환된 아릴렌이다 (예를 들어, 할로, 하이드록실, 카르복실, 알콕시, 및/또는 할로알킬로 선택 가능하게 치환됨). 특정한 실시 예들에서, 카르복시산은 포름산, 시트르산, 또는 살리실산이다.
또 다른 실시 예들에서, 금속 킬레이터는 하이드록삼산, 예컨대 RA1-C(O)NRA2OH를 포함하고, 여기서 RA1 및 RA2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴, 또는 선택 가능하게 RA1 및 RA2는 함께 취해질 때, 선택 가능하게 치환된 헤테로사이클릴을 형성한다.
금속 킬레이터는 하이드록시케톤이거나 하이드록시케톤을 포함할 수 있다. 특정한 실시 예들에서, 하이드록시케톤은 RA1-C(O)-RA2이고, 여기서 RA1 및 RA2 각각은 선택 가능하게 치환된 알킬렌, 선택 가능하게 치환된 알케닐렌, 선택 가능하게 치환된 헤테로알킬렌, 또는 선택 가능하게 치환된 헤테로 알케닐렌이고; RA1 및 RA2 중 적어도 하나는 하이드록실 치환을 포함하고; 그리고 RA1 및 RA2는 함께 취해져 사이클릭기 (예를 들어, 선택 가능하게 치환된 사이클로알킬 또는 선택 가능하게 치환된 헤테로사이클릴) 를 형성한다.
다른 실시 예들에서, 하이드록시케톤은 화학식 (I), 화학식 (II), 또는 화학식 (III) 의 구조:
Figure pct00004
,
Figure pct00005
,
Figure pct00006
, 또는 이들의 염을 가질 수 있고,
X1 및 X2 각각은 독립적으로, -CR1= 또는 -N=이고;
R1 및 R2 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 카르복시알킬, -C(O)NRN1RN2, 또는 -C(O)ORO1이고, 여기서 RN1, RN2, 및 RO1 각각은 독립적으로, H, 또는 선택 가능하게 치환된 알킬이고, 선택 가능하게 RN1 및 RN2는, 함께 취해질 때, 선택 가능하게 치환된 헤테로사이클릴을 형성하고; 그리고
R3은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다.
비제한적인 하이드록시케톤들은 하이드록시피리디논, 하이드록시피리미돈, 또는 이들의 치환된 형태들을 포함하는 하이드록시피론을 포함한다. 추가의 하이드록시케톤들은 1-하이드록시피리딘-2-온 (1,2-HOPO, 6-R1 치환된 1,2-HOPO 를 포함함), 3-하이드록시피리딘-4-온 (3,4-HOPO, 예컨대 N-R3 치환된 3,4-HOPO, 뿐만 아니라 N-R3, 2-R2, 6-R3 치환된 3,4-HOPO), 3-하이드록시피리딘-2-온 (3,2-HOPO, 예컨대 N-R3 치환된 3,2-HOPO, 뿐만 아니라 4-R3, 6-R1 치환된 3,2-HOPO), 1-하이드록시피라진-2-온 (1,2,4-HPM, 6-R1 치환된 1,2,4-HPM 을 포함함), 1-하이드록시피리디민-2-온 (1,2,3-HPM, 6-R1 치환된 1,2,3-HPM 을 포함함), 및 3-하이드록시피란-4-온 (3,4-HPy, 2-R1, 치환된 3,4-HPy, 5-R2 치환된, 그리고 2-R1, 5-R2 치환된 3,4-HPy 를포함함) 을 포함하고, 여기서 비제한적인 치환기들은 화학식 (I), 화학식 (II), 또는 화학식 (III) 에 대해 기술된다.
일부 실시 예들에서, 금속 킬레이터는 백본 상에 배치된 복수의 모이어티들을 포함하고, 복수의 모이어티들은 하이드록실, 카르복실, 아미도, 아미노, 및 옥소로부터 선택된다. 비제한적인 모이어티들은 디카르보닐, 디올, 카르복시산, 이산, 삼산, 하이드록시카르복시산, 하이드록삼산, 하이드록시락톤, 하이드록시케톤, 또는 이들의 염의 1가 또는 다가 형태 중 하나 이상을 포함한다.
백본은 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 헤테로알킬, 선택 가능하게 치환된 아릴, 뿐만 아니라 이들의 조합들을 포함하는 임의의 유용한 구조를 포함할 수 있다. 다른 실시 예들에서, 백본은 폴리머, 예컨대 폴리에틸렌 테레프탈레이트, 폴리하이드록시부티레이트, 폴리하이드록시발레레이트, 폴리(비닐 에스테르), 폴리(비닐 아세테이트) 를 포함하는 폴리머, 또는 이들의 코폴리머들 (copolymers) 과 같은 폴리(에스테르); 폴리(하이드록시알카노에이트); 폴리(락트산); 폴리(카프로락톤); 다당류 또는 이의 유도체, 예컨대 아밀로스, 셀룰로스, 또는 카르복시메틸 셀룰로스; 폴리(알킬렌 숙시네이트), 예컨대 폴리(프로필렌 숙시네이트) 또는 폴리(부틸렌 숙시네이트); 폴리(아스파르테이트) 또는 폴리(아스파르트산), 또는 지방족-방향족 수지, 예컨대 적어도 하나의 지방족 섹션 및 적어도 하나의 방향족 섹션을 갖는 코폴리머를 포함한다.
금속 전구체들
본 개시는 패터닝 방사선-감응 막 (예를 들어, EUV-감응 막) 을 형성하도록 증착될 수 있는 금속 전구체(들) 및 선택 가능한 대응-반응 물질(들) (counter-reactant(s)) 의 사용에 관한 것이다. 이 막은 결국 본 명세서에 더 기술된 바와 같이 EUV 레지스트로서 역할을 할 수 있다. 특정한 실시 예들에서, 막은 방사선 (예를 들어, EUV 또는 DUV 방사선) 에 의해 제거, 절단, 또는 교차-결합될 수 있는 하나 이상의 리간드들 (예를 들어, 불안정 리간드들) 을 포함할 수 있다.
금속 전구체는 방사선에 감응성인 패터닝 가능한 막 (또는 패터닝 방사선-감응 막 또는 포토패터닝 가능한 막) 을 제공하는 (예를 들어, 본 명세서에 기술된) 임의의 전구체를 포함할 수 있다. 이러한 방사선은 패터닝된 마스크를 통해 조사함으로써 제공되어 패터닝 방사선이 되는 EUV 방사선, DUV 방사선, 또는 UV 방사선을 포함할 수 있다. 막 자체는 막이 방사선-감응성이도록, 이러한 방사선에 노출됨으로써 변경될 수 있다. 특정한 실시 예들에서, 금속 전구체는 적어도 하나의 금속 중심을 포함하는 유기금속 화합물이다.
금속 전구체는 임의의 유용한 수 및 타입의 리간드(들)를 가질 수 있다. 일부 실시 예들에서, 리간드는 대응-반응 물질의 존재 하에 또는 패터닝 방사선의 존재 하에 반응하는 능력을 특성화될 수 있다. 예를 들어, 금속 전구체는 금속 중심들 사이에 연결들 (linkages) (예를 들어, -O- 연결) 을 도입할 수 있는, 대응-반응 물질과 반응하는 리간드 (디알킬아미노기들 또는 알콕시기들) 를 포함할 수 있다. 또 다른 예에서, 금속 전구체는 패터닝 방사선의 존재 하에 제거하는 리간드를 포함할 수 있다. 이러한 리간드 (예를 들어, EUV-절단 가능한 불안정한 리간드) 는 본 명세서에 기술된 다른 것뿐만 아니라, 베타-수소를 갖는 분지형 알킬기 또는 선형 알킬기 (예를 들어, 화학식 (IV) 또는 (V) 에서 R) 를 포함할 수 있다.
금속 전구체는 (예를 들어, 본 명세서에 기술된 바와 같이) 임의의 유용한 금속-함유 전구체, 예컨대 유기금속제 (organometallic agent), 금속 할라이드, 또는 캡핑제일 수 있다. 비제한적인 예에서, 금속 전구체는 화학식 (IV) 을 갖는 구조를 포함한다:
MaRb (IV),
여기에서:
M은 고 EUV 흡수 단면적 (absorption cross-section) 을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
a ≥ 1; 그리고 b ≥ 1이다.
또 다른 비제한적인 예에서, 금속 전구체는 화학식 (V) 을 갖는 구조를 포함한다:
MaRbLc (V),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이클릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이클릴기를 형성할 수 있고;
a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
일부 실시 예들에서, 금속 전구체 내 리간드 각각은 대응-반응 물질과 반응성인 리간드일 수 있다. 일 예에서, 금속 전구체는 화학식 (V) 을 갖는 구조를 포함하고, 여기서 R 각각은 독립적으로, L이다. 또 다른 예에서, 금속 전구체는 화학식 (Va) 을 갖는 구조를 포함한다:
MaLc (Va),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
L 각각은 독립적으로, 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 2 개의 L은 함께 취해져 선택 가능하게 헤테로사이클릴기를 형성할 수 있고;
a ≥ 1; 그리고 c ≥ 1이다.
화학식 (Va) 의 특정한 실시 예들에서, a는 1이다. 추가 실시 예들에서, c는 2, 3, 또는 4이다.
본 명세서의 임의의 화학식에 대해, M은 고 패터닝 방사선 흡수 단면적 (예를 들어, 1 x 107 ㎠/mol 이상인 EUV 흡수 단면적) 을 갖는 금속, 준금속 (metalloid) 또는 원자일 수 있다. 일부 실시 예들에서, M은 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 탄탈룸 (Ta), 세슘 (Cs), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 및 백금 (Pt) 이다. 추가의 실시 예들에서, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서 M은 Sn이고, a는 1이고, c는 4이다. 다른 실시 예들에서, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서 M은 Sn이고, a는 1이고, c는 2이다. 특정한 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) M은 Sn(II)이고, 이에 따라 Sn(II)-기반 화합물인 금속 전구체를 제공한다. 다른 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) M은 Sn(IV)이고, 이에 따라 Sn(IV)-기반 화합물인 금속 전구체를 제공한다. 특정한 실시 예들에서, 전구체는 (예를 들어, 퍼아이오데이트 (periodate) 에서와 같이) 요오드를 포함한다.
본 명세서의 임의의 화학식에 대해, R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시 (예를 들어, -OR1, 여기서 R1은 선택 가능하게 치환된 알킬일 수 있음), 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드 (예를 들어, 옥시도 (oxido), 클로리도 (chlorido), 하이드리도 (hydrido), 아세테이트, 이미노디아세테이트, 프로파노에이트, 부타노에이트, 벤조에이트, 등), 중성 리간드, 또는 여러 자리 리간드이다.
일부 실시 예들에서, 선택 가능하게 치환된 아미노는 -NR1R2이고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 알킬이고; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져 본 명세서에 규정된 바와 같이, 헤테로사이클릴기를 형성한다. 다른 실시 예들에서, 선택 가능하게 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다. 또 다른 실시 예들에서, 선택 가능하게 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다.
다른 실시 예들에서, 화학식은 -NR1R2인 제 1 R (또는 제 1 L) 및-NR1R2인 제 2 R (또는 제 2 L) 을 포함하고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; 또는 제 1 R (또는 제 1 L) 로부터의 R1 및 제 2 R (또는 제 2 L) 로부터의 R1은 각각이 부착된 질소 원자 및 금속 원자와 함께 취해져 본 명세서에 규정된 바와 같이, 헤테로사이클릴기를 형성한다. 또 다른 실시 예들에서, 화학식은 -OR1인 제 1 R 및 -OR1인 제 2 R을 포함하고, 여기서 R1 각각은 독립적으로, H 또는 선택 가능하게 치환된 알킬이고; 또는 제 1 R로부터의 R1 및 제 2 R로부터의 R1은 각각이 부착된 산소 원자 및 금속 원자와 함께 취해져, 본 명세서에 규정된 바와 같이, 헤테로사이클릴기를 형성한다.
일부 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) R 또는 L 중 적어도 하나는 선택 가능하게 치환된 알킬이다. 비제한적인 알킬기들은 예를 들어, CnH2n+1을 포함하고, 여기서 n은 1, 2, 3 이상, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, 또는 t-부틸을 포함한다. 다양한 실시 예들에서, R 또는 L은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다.
일부 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 할로이다. 특히, 금속 전구체는 금속 할라이드일 수 있다. 비제한적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
일부 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 질소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 모노알킬아미노 (예를 들어, -NR1H, 여기서 R1은 선택 가능하게 치환된 알킬임), 선택 가능하게 치환된 디알킬아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 선택 가능하게 치환된 알킬임), 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노일 수 있다. 비제한적인 R 치환기 및 L 치환기는 예를 들어, -NMe2, -NHMe, -NEt2, -NHEt, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 실리콘 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 트리알킬실릴 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, -SiMe3, -SiEt3, -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (IV), 화학식 (V), 또는 화학식 (Va) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 산소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 알콕시 또는 선택 가능하게 치환된 알카노일옥시일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 아세테이트 (-OC(O)-CH3), 및 -O=C(CH3)-CH=C(CH3)-O-(acac) 를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 중성 리간드들을 포함할 수 있다. 비제한적인 중성 리간드들은 선택 가능하게 치환된 아민, 선택 가능하게 치환된 에테르, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알켄, 선택 가능하게 치환된 알킨, 선택 가능하게 치환된 벤젠, 옥소, 또는 일산화탄소를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 여러 자리 (예를 들어, 두 자리) 리간드들을 포함할 수 있다. 비제한적인 여러 자리 리간드는 디케토네이트 (예를 들어, 아세틸아세토네이트 (acac) 또는-OC(R1)-Ak-(R1)CO-또는-OC(R1)-C(R2)-(R1)CO-), 두 자리 킬레이트 이질소 (예를 들어, -N(R1)-Ak-N(R1)- 또는 -N(R3)-CR4-CR2N(R1)-), 방향족 (예를 들어, -Ar-), 아미디네이트 (예를 들어, -N(R1)-C(R2)-N(R1)-), 아미노알콕시드 (예를 들어, -N(R1)-Ak-O-또는-N(R1)2-Ak-O-), 디아자디에닐 (예를 들어, -N(R1)-C(R2)-C(R2)-N(R1)-), 사이클로펜타디에닐, 피라졸레이트, 선택 가능하게 치환된 헤테로사이클릴, 선택 가능하게 치환된 알킬렌, 또는 선택 가능하게 치환된 헤테로알킬렌을 포함한다. 특정한 실시 예들에서, R1 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; R3 및 R4는 함께 취해질 때 선택 가능하게 치환된 헤테로사이클릴을 형성하고; Ak는 선택 가능하게 치환된 알킬렌이고; Ar은 선택 가능하게 치환된 아릴렌이다.
특정한 실시 예들에서, 금속 전구체는 주석을 포함한다. 일부 실시 예들에서, 주석 전구체는 SnR 또는 SnR2 또는 SnR4 또는 R3SnSnR3을 포함하고, 여기서 R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 C2-12 알케닐, 선택 가능하게 치환된 C2-12 알키닐, 선택 가능하게 치환된 C3-8 사이클로알킬, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 선택 가능하게 치환된 알카노일옥시 (예를 들어, 아세테이트), 디케토네이트 (예를 들어, -OC(R1)-Ak-(R2)CO-), 또는 두 자리 킬레이팅 이질소 (예를 들어, -N(R1)-Ak-N(R1)-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로 H 또는 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이고; 그리고 Ak는 선택 가능하게 치환된 C1-6 알킬렌이다. 비제한적인 주석 전구체들은 SnF2, SnH4, SnBr4, SnCl4, SnI4, 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), 트리메틸 주석 클로라이드 (SnMe3Cl), 디메틸 주석 디클로라이드 (SnMe2Cl2), 메틸 주석 트리클로라이드 (SnMeCl3), 테트라알릴 주석, 테트라비닐 주석, 헥사페닐 이주석 (IV) (Ph3Sn-SnPh3, 여기서 Ph는 페닐임), 디부틸디페닐 주석 (SnBu2Ph2), 트리메틸(페닐) 주석 (SnMe3Ph), 트리메틸(페닐에티닐) 주석, 트리사이클로헥실 주석 하이드라이드, 트리부틸 주석 하이드라이드 (SnBu3H), 디부틸 주석 디아세테이트 (SnBu2(CH3COO)2), 주석(II) 아세틸아세토네이트 (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu)(t-BuO)3, 테트라키스(디메틸아미노)주석 (Sn(NMe2)4), 테트라키스(에틸메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(디에틸아미노)주석(IV) (Sn(Me)3(NMe2), Sn(i-Pr) (NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴), 또는 비스[비스(트리메틸실릴)아미노] 주석 (Sn[N(SiMe3)2]2) 을 포함한다.
다른 실시 예들에서, 금속 전구체는 BiR3에서와 같은 비스무트를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 모노-C1-12 알킬아미노 (예를 들어, -NR1H), 디-C1-12 알킬아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로, C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸); R4 및 R5 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이다. 비제한적인 비스무트 전구체들은 BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, 및 Bi(thd)3을 포함하고, 여기서 thd는 2, 2, 6, 6-테트라메틸-3, 5-헵탄디오네이트이다.
다른 실시 예들에서, 금속 전구체는 텔루륨, 예컨대 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이다. 비제한적인 텔루륨 전구체들은 디메틸 텔루륨 (TeMe2), 디에틸 텔루륨 (TeEt2), 디(n-부틸) 텔루륨 (Te(n-Bu)2), 디(이소프로필) 텔루륨 (Te(i-Pr)2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), t-부틸 텔루륨 하이드라이드 (Te(t-Bu)(H)), Te(OEt)4, 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 및 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2) 을 포함한다.
금속 전구체는 또한 세슘을 포함할 수 있다. 비제한적인 세슘 전구체들은 Cs(OR) 를 포함하고, 여기서 R은 선택 가능하게 치환된 C1-12 알킬 또는 선택 가능하게 치환된 아릴이다. 다른 세슘 전구체들은 Cs(Ot-Bu) 및 Cs(Oi-Pr) 을 포함한다.
금속 전구체는 SbR3에서와 같은 안티몬을 포함할 수 있고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 또는 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬임) 이다. 비제한적인 안티몬 전구체들은 SbCl3, Sb(OEt)3, Sb(On-Bu)3, 및 Sb(NMe2)3을 포함한다.
다른 금속 전구체들은 InR3에서와 같은 인듐 전구체들을 포함하고, 여기서 R 각각은 독립적으로, 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-, 여기서 R4 및 R5 각각은 독립적으로 H 또는 C1-12 알킬임) 이다. 비제한적인 인듐 전구체들은 InCp을 포함하고, Cp는 사이클로펜타디에닐, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, 및 In(thd)3이다.
또 다른 금속 전구체들은 MoR4, MoR5, 또는 MoR6과 같은 몰리브덴 전구체들을 포함하고, 여기서 R 각각은 독립적으로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 알릴 (예를 들어, C3H5와 같은 알릴, 또는 C5H5O와 같은 알릴의 옥사이드), 선택 가능하게 치환된 알킬이미도 (예를 들어, =N-R1), 아세토니트릴, 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2), 할로 (예를 들어, 클로로 또는 브로모), 카르보닐, 디케토네이트 (예를 들어, -OC(R3)-Ak-(R3)CO-), 또는 두 자리 킬레이팅 이질소 (예를 들어, -N(R3)-Ak-N(R3)- 또는 -N(R4)-CR5-CR2=N(R3)-) 를 포함한다. 특정한 실시 예들에서, R1 각각 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; R3 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; 그리고 R4 및 R5는 함께 취해져, 선택 가능하게 치환된 헤테로사이클릴을 형성한다. 비제한적인 몰리브덴 전구체들은 Mo(CO)6, 비스(t-부틸이미도)비스(디메틸아미노) 몰리브덴(VI) 또는 Mo(NMe2)2(=Nt-Bu)2, 몰리브덴(VI) 다이옥사이드 비스(2,2,6,6-테트라메틸-3,5-헵타네디오네이트) 또는 Mo(=O)2(thd)2, 또는 몰리브덴 알릴 착체들, 예컨대 Mo(η3-알릴)X(CO)2(CH3CN)2를 포함하고, 여기서 알릴은 C3H5 또는 C5H5O일 수 있고 그리고 X는 Cl, Br, 또는 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 일 수 있다.
금속 전구체들은 또한 HfR3 또는 HfR4와 같은 하프늄 전구체들을 포함할 수 있고, 여기서 R 각각은 독립적으로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 모노-C1-12 알킬아미노 (예를 들어, -NR1H, 여기서 R1는 선택 가능하게 치환된 C1-12 알킬임), 디-C1-12 알킬아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 선택 가능하게 치환된 C1-12 알킬임), 선택 가능하게 치환된 아릴 (예를 들어, 페닐, 벤젠, 또는 사이클로펜타디에닐, 뿐만 아니라 이들의 치환된 형태들), 선택 가능하게 치환된 알릴 (예를 들어, 알릴 또는 알릴 옥사이드), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-), R4 및 R5 각각은 독립적으로, H 또는 선택 가능하게 치환된 C1-12 알킬임) 이다. 비제한적인 하프늄 전구체들은 Hf(i-Pr)(NMe2)3; Hf(η-C6H5R1)(η-C3H5)2 여기서 R1은 H 또는 알킬이고; HfR1(NR2R3)3 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이고; HfCp2Me2; Hf(Ot-Bu)4; Hf(OEt)4; Hf(NEt2)4; Hf(NMe2)4; Hf(NMeEt)4; 및 Hf(thd)4를 포함한다.
또 다른 금속 전구체들 및 비제한적인 치환기들이 본 명세서에 기술된다. 예를 들어, 금속 전구체들은 상기 기술된 바와 같이, 화학식 (IV), 화학식 (V), 또는 화학식 (Va); 또는 이하에 기술된 바와 같은 화학식 (VI), 화학식 (VII), 화학식 (VIII), 화학식 (IX), 화학식 (X), 또는 화학식 (XI) 의 구조를 갖는 임의의 금속 전구체일 수 있다. 본 명세서에 기술된 바와 같은 임의의 치환기들 M, R, X, 또는 L은 임의의 화학식 (IV), 화학식 (V), 화학식 (Va), 화학식 (VI), 화학식 (VII), 화학식 (VIII), 화학식 (IX), 화학식 (X), 또는 화학식 (XI) 에 채용될 수 있다.
금속 전구체 및/또는 대응-반응 물질에 존재하는 다양한 원자들은 경사 막 내에 제공될 수 있다. 본 명세서에 논의된 기법들의 일부 실시 예들에서, 포토레지스트 (PR) 막에서 EUV 감도를 더 개선할 수 있는 비제한적인 전략은 막 조성이 수직으로 등급화된 (graded) 막을 생성하여 깊이-종속 EUV 감도를 발생시키는 것이다. 고 흡수 계수를 갖는 균질 (homogenous) PR에서, 막 깊이 전체에 걸쳐 감소하는 광 강도는 하단부가 충분히 노출된다는 것을 보장하기 위해 더 높은 EUV 도즈를 필요로 한다. 막의 상단부에 대해 막의 하단부에서 고 EUV 흡수율을 갖는 원자들의 밀도를 증가시킴으로써 (즉, 증가하는 EUV 흡수를 갖는 경사를 생성함으로써) 더 고 흡수성인 막들의 하단부를 향하여 흡수 (및 2 차 전자들의 효과들) 를 더 균일하게 분배하는 동안 가용한 EUV 광자들을 더 효율적으로 사용하는 것이 가능해진다. 일 비제한적인 예에서, 경사 막은 Te, I, 또는 막의 하단을 향하는 (예를 들어, 기판에 더 가까운) 다른 원자들을 포함한다.
PR 막에서 수직 조성 경사를 엔지니어링하는 전략은 특히 MLD, CVD 및 ALD와 같은 건식 증착 방법들에 적용 가능하고 증착 동안 상이한 반응 물질들 사이의 플로우 비들을 튜닝함으로써 실현될 수 있다. 엔지니어링될 수 있는 조성 경사들의 타입은 상이한 고 흡수성 금속들 사이의 비들, EUV-절단 가능한 유기기들을 갖는 금속 원자들의 백분율, 고-흡수성 원소들을 함유하는 대응-반응 물질들의 백분율들 및 이들의 조합들을 포함한다.
EUV PR 막의 조성 경사는 또한 부가적인 이점을 가져올 수 있다. 예를 들어, 막의 하단 부분에서 고 밀도의 고-EUV-흡수 엘리먼트들은 막의 상부 부분들을 더 잘 노출할 수 있는 더 많은 2 차 전자들을 효과적으로 생성할 수 있다. 이에 더하여, 이러한 조성 경사들은 또한 벌키 (bulky), 말단 치환기들에 결합되지 않은 더 고 분율 (fraction) 의 EUV 흡수 종과 직접적으로 상관될 수 있다. 예를 들어, Sn-기반 레지스트들의 경우, 4 개의 이탈기들을 갖는 주석 전구체들의 혼입이 가능하고, 이에 따라 개선된 접착을 위해 계면에서 Sn-O-기판 결합의 형성을 촉진한다.
이러한 경사 막들은 본 명세서에 기술된 임의의 금속 전구체들 (예를 들어, 주석 또는 비-주석 전구체들) 및/또는 대응-반응 물질들을 사용함으로써 형성될 수 있다. 또 다른 막들, 방법들, 전구체들, 및 다른 화합물들은 명칭이 각각 "SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS"인 2019년 10월 2일자로 출원된 미국 특허 가출원 제 62/909,430 호 및 국제 공개 제 WO2021/067632 호로 공개된 2020년 10월 1일자로 출원된 국제 출원 제 PCT/US20/53856 호에 그리고 명칭이 PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT인 국제 공개 제 WO2020/264557 호로 공개된 2020년 6월 24일자로 출원된 국제 출원 제 PCT/US20/70172 호에 기술되며, 적어도 EUV 레지스트 마스크들을 형성하기 위한 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝과 관련된 상기의 개시들은 본 명세서에 참조로서 인용된다.
더욱이, 2 개 이상의 상이한 전구체들이 층 (예를 들어, 막) 각각 내에 채용될 수 있다. 예를 들어, 본 명세서의 임의의 금속-함유 전구체들 중 2 개 이상이 합금을 형성하도록 채용될 수 있다. 일 비제한적인 예에서, 주석 텔루라이드는 RTeH, RTeD, 또는 TeR2 전구체들을 갖는 -NR2 리간드를 포함하는 주석 전구체를 채용함으로써 형성될 수 있고, 여기서 R은 알킬, 특히 t-부틸 또는 i-프로필이다. 또 다른 예에서, 금속 텔루라이드는 트리알킬실릴 리간드 (예를 들어, 비스(트리메틸실릴)텔루륨) 를 포함하는 텔루륨-함유 전구체와 함께 알콕시 리간드 또는 할로 리간드 (예를 들어, SbCl3) 를 포함하는 제 1 금속 전구체를 사용함으로써 형성될 수 있다.
또 다른 예시적인 EUV-감응 재료들, 뿐만 아니라 프로세싱 방법들 및 장치들이 미국 특허 제 9,996,004 호 및 국제 특허 공개 제 WO 2019/217749 호에 기술되어 있고, 이들 각각은 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 바와 같이, 본 명세서의 막들, 층들, 및 방법들은 임의의 유용한 전구체와 함께 채용될 수 있다. 일부 예들에서, 금속 전구체는 다음 화학식 (VI) 을 갖는 금속 할라이드를 포함한다:
MXn (VI),
M은 금속이고, X는 할로이고, n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
또 다른 비제한적인 금속-함유 전구체는 화학식 (VII) 을 갖는 구조를 포함한다:
MRn (VII),
M은 금속이고; R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR2, 여기서 R 각각은 독립적으로 알킬임), 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR3)2, 여기서 R 각각은 독립적으로 알킬임), 또는 선택 가능하게 치환된 트리알킬실릴 (예를 들어, -SiR3, 여기서 R 각각은 독립적으로 알킬임); 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 알킬기는 CnH2n+1일 수도 있고, 여기서 n은 1, 2, 3, 이상이다. 예시적인 유기금속제들은 SnMe4, SnEt4, TeRn, RTeR, t-부틸 텔루륨 하이드라이드 (Te(t-Bu) (H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 디(이소프로필)텔루륨 (Te(i-Pr)2), 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 트리스(비스(트리메틸실릴)아미도) 비스무트 (Bi[N(SiMe3)2]3), Sb(NMe2)3, 등을 포함한다.
또 다른 비제한적인 금속-함유 전구체는 다음 화학식 (VIII) 을 갖는 캡핑제를 포함할 수 있다:
MLn (VIII),
M은 금속이고; L 각각은 독립적으로 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 바와 같이 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 바와 같이, 알킬임) 할로, 또는 다른 유기 치환기를 포함하고; 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 리간드들은 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알콕시 (예를 들어, t-부톡시 및 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기들 (예를 들어, 아세틸아세톤 또는 N 2 ,N 3-디-터트부틸-부탄-2, 3-디아미노) 을 포함한다. 비제한적인 캡핑제들은 SnCl4; SnI4; Sn(NR2)4을 포함하고, 여기서 R 각각은 독립적으로 메틸 또는 에틸이고; 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다.
금속-함유 전구체는 다음 화학식 (IX) 을 갖는 하이드로카빌-치환된 캡핑제를 포함할 수 있다:
RnMXm (IX),
여기서 M은 금속이고, R은 C2-10 알킬 또는 베타-수소를 갖는 치환된 알킬이고, X는 노출된 하이드록실기들의 하이드록실기와 반응 시 적합한 이탈기 (leaving group) 이다. 다양한 실시 예들에서, n = 1 내지 3, 그리고, m > 0 (또는 m ≥ ) 인 한, m 4 - n, 3 - n, 또는 2 - n이다. 예를 들어, R은 t-부틸, t-펜틸, t-헥실, 사이클로헥실, 이소프로필, 이소부틸, sec-부틸, n-부틸, n-펜틸, n-헥실, 또는 베타 포지션에 헤테로 원자 (heteroatom) 치환기를 갖는 이의 유도체들일 수도 있다. 적합한 헤테로 원자들은 할로겐 (F, Cl, Br, 또는 I), 또는 산소 (-OH 또는 -OR) 를 포함한다. X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 또는 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 또는 I), 또는 또 다른 유기 리간드일 수도 있다. 하이드로카빌-치환된 캡핑제들의 예들은 t-부틸트리스(디메틸아미노)주석 (Sn(t-Bu)(NMe2)3), n-부틸트리스(디메틸아미노)주석 (Sn(n-Bu)(NMe2)3), t-부틸트리스(디에틸아미노)주석 (Sn(t-Bu)(NEt2)3), 디(t-부틸)디(디메틸아미노)주석 (Sn(t-Bu)2(NMe2)2), sec-부틸트리스(디메틸아미노)주석 (Sn(s-Bu)(NMe2)3), n-펜틸트리스(디메틸아미노)주석 (Sn(n-pentyl)(NMe2)3), i-부틸트리스(디메틸아미노)주석 (Sn(i-Bu)(NMe2)3), i-프로필트리스(디메틸아미노)주석 (Sn(i-Pr)(NMe2)3), t-부틸트리스(t-부톡시)주석 (Sn(t-Bu)(t-BuO)3), n-부틸(트리스(t-부톡시)주석 (Sn(n-Bu)(t-BuO)3), 또는 이소프로필트리스(t-부톡시)주석 (Sn(i-Pr)(t-BuO)3) 을 포함한다.
다양한 실시 예들에서, 금속-함유 전구체는 증기-상 반응에서 살아 남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질에 의해 대체될 수 있다. 따라서, 또 다른 비제한적인 금속-함유 전구체는 화학식 (X) 을 갖는 유기금속제를 포함한다:
MaRbLc (X),
M은 금속이고; R은 선택 가능하게 치환된 알킬이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, a = 1, 그리고 b + c = 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 특정한 실시 예들에서, L 각각은 독립적으로 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 예컨대 본 명세서에 기술된 임의의, H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 예컨대 본 명세서에 기술된 임의의, 알킬), 또는 할로 (예를 들어, F, Cl, Br, 또는 I) 이다. 예시적인 작용제들 (agents) 은 SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), 등을 포함한다.
다른 실시 예들에서, 비제한적인 금속-함유 전구체는 화학식 (XI) 을 갖는 유기금속제를 포함한다:
MaLc (XI),
M은 금속이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, c = n - 1이고, n은 2, 3, 또는 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 대응-반응 물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다.
본 명세서의 임의의 실시 예에서, R은 선택 가능하게 치환된 알킬 (예를 들어, C1-10 알킬) 일 수 있다. 일 실시 예에서, 알킬은 하나 이상의 할로 (예를 들어, F, Cl, Br, 또는 I와 같은 1, 2, 3, 4 또는 그 이상의 할로를 포함하는 할로-치환된 C1-10 알킬) 로 치환된다. 예시적인 R 치환기들은 CnH2n+1, 바람직하게 n ≥ 3; 및 CnFxH(2n+1-x), 여기서 2n + 1 ≤ x ≤ 1이다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
본 명세서의 임의의 실시 예에서, L은 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 임의의 본 명세서에 기술된 바와 같은 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 임의의 본 명세서에 기술된 바와 같은 알킬임), 카르복실레이트들, 할로 (예를 들어, F, Cl, Br, 또는 I), 및 이들의 혼합물로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환될 수도 있다.
예시적인 유기금속제들은 SnMeCl3, (N 2, N 3-디-t-부틸-부탄-2, 3-디아미도) 주석(II) (Sn (tbba)), 비스(비스(트리메틸실릴)아미도) 주석(II), 테트라키스(디메틸아미노) 주석(IV) (Sn(NMe2)4), t-부틸 트리스(디메틸아미노) 주석 (Sn(t-butyl)(NMe2)3), i-부틸 트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), n-부틸 트리스(디메틸아미노) 주석 (Sn(n-Bu)(NMe2)3), sec-부틸 트리스(디메틸아미노) 주석 (Sn(s-Bu) (NMe2)3), i-프로필(트리스)디메틸아미노 주석 (Sn(i-Pr) (NMe2)3), n-프로필 트리스(디에틸아미노) 주석 (Sn(n-Pr)(NEt2)3), 및 유사한 알킬(트리스) (t-부톡시) 주석 화합물들, 예컨대 t-부틸 트리스(t-부톡시) 주석 (Sn(t-Bu) (t-BuO)3) 를 포함한다. 일부 실시 예들에서, 유기금속제들은 부분적으로 플루오르화된다.
리소그래피 프로세스들
EUV 리소그래피는 액체-기반 스핀-온 기법들에 의해 생성된 폴리머-기반 화학적으로 증폭된 레지스트들, 스핀-온 기법들에 의해 생성된 금속 옥사이드-기반 레지스트들 또는 건식 기상-증착된 기법들에 의해 생성된 금속 옥사이드-기반 레지스트들일 수도 있는, EUV 레지스트들을 사용한다. 이러한 EUV 레지스트들은 본 명세서에 기술된 임의의 EUV-감응 막 또는 재료를 포함할 수 있다. 리소그래피 방법들은, 예를 들어, 포토 패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출에 의해 레지스트를 패터닝하고, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거함으로써 패턴을 현상하는 것을 포함할 수 있다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 방사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 더 낮은 에너지 범위의 EUV를 형식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 기판의 표면 상의 이미징/PR 층으로서 금속 옥사이드 (예를 들어, 다른 비금속기 및 비산소기를 포함할 수도 있는 금속 옥사이드 결합들의 네트워크를 포함하는 층) 막을 형성하기 위해 (예를 들어, 선택 가능하게 노출된 하이드록실기들을 갖는) 기판이 금속-함유 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 와 콘택트하는 것을 포함한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 애플리케이션들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡수를 강화시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 주의할 점은, 본 개시가 습식 (용매) 접근법들을 아우른다는 것이다. 습식 현상을 위해, 웨이퍼는 현상 용매에 노출되고, 건조되고, 소성될 수 있다.
건식 또는 습식 증착을 포함한 증착 프로세스들
상기 논의된 바와 같이, 본 개시는 EUV 또는 다른 차세대 리소그래피 기법들을 사용하여 패터닝될 수도 있는, 반도체 기판들 상에 이미징 층들을 제조하기 위한 방법들을 제공한다. 방법들은 중합된 (polymerized) 유기금속 재료들이 증기 또는 용매로 생성되고, 이어서 기판 상에 증착되는 것을 포함한다. 일부 실시 예들에서, 증착은 임의의 유용한 금속-함유 전구체 (예를 들어, 본 명세서에 기술된 금속 할라이드들, 캡핑제들, 또는 유기금속제들) 를 건식 제제로서 또는 스핀-온 제제로서 채용할 수 있다. 증착 프로세스들은 레지스트 막으로서 EUV-감응 재료를 도포하는 것을 포함할 수 있다. 예시적인 EUV-감응 재료들이 본 명세서에 기술된다.
본 기술은 EUV-감응 막들이 기판 상에 증착되는 방법들을 포함하고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다.
이러한 EUV-감응 막들은 EUV 노출 시, 더 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 리간드들의 손실과 같은 변화들을 겪는 재료들을 포함한다. 다른 실시 예들에서, EUV 노출은 금속 원자들에 결합된 리간드들 사이에 추가의 교차-결합을 발생시켜, 더 치밀한 M-L-M 결합된 유기금속 재료들을 제공하고, L은 리간드이다. 또 다른 실시 예들에서, EUV 노출은 포지티브 톤 현상제들에 의해 제거될 수 있는 M-OH 재료들을 제공하기 위해 리간드들의 손실을 발생시킨다.
EUV 패터닝을 통해, 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막들의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출된 영역 또는 노출되지 않은 영역을 용해시키도록, 또는 노출된 영역 또는 노출되지 않은 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 이러한 후속 프로세싱이 수행되는 조건들 하에 노출되지 않은 막은 소수성 표면을 갖고, 노출된 막은 친수성 표면을 갖는다 (노출된 영역 및 노출되지 않은 영역의 친수성 특성들이 서로 상대적인 것이 인식된다). 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이들을 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 본 명세서에 더 기술된 바와 같이 습식 프로세싱에 의한 것일 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 약 0.5 ㎚ 내지 약 100 ㎚ 범위일 수도 있다. 바람직하게, 막은 EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기에 충분한 두께를 갖는다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
막 (예를 들어, 이미징 층) 은 임의의 유용한 방식으로 증착된 금속 옥사이드 층으로 구성될 수도 있다. 이러한 금속 옥사이드 층은 금속-함유 전구체 (예를 들어, 금속 할라이드, 캡핑제, 또는 유기금속제) 와 같은, 본 명세서에 기술된 임의의 EUV-감응 재료를 사용함으로써 증착되거나 도포될 수 있다. 예시적인 프로세스들에서, 중합된 유기금속 재료는 금속 옥사이드 층을 제공하기 위해 기판의 표면 상에 기상 (vapor phase), 액체상 또는 인 시츄 (in situ) 로 형성된다. 금속 옥사이드 층은 막, 접착 층, 또는 캡핑 층으로서 채용될 수도 있다.
선택 가능하게, 금속 옥사이드 층은 하이드록실-종단된 금속 옥사이드 층을 포함할 수 있고, 이는 산소-함유 대응-반응 물질과 함께 캡핑제 (예를 들어, 본 명세서에 기술된 임의의 캡핑제) 를 채용함으로써 증착될 수 있다. 이러한 하이드록실-종단된 금속 옥사이드 층은 예를 들어, 2 개의 다른 층들 사이, 예컨대 기판과 막 사이 및/또는 포토레지스트 층과 하부층 (underlayer) 사이의 접착 층으로서 채용될 수 있다.
(예를 들어, 막에 대한) 예시적인 증착 기법들은 ALD (예를 들어, 열적 ALD 및 플라즈마-강화된 ALD), 스핀-코트 증착, PVD 공-스퍼터링을 포함하는 PVD, CVD (예를 들어, PE-CVD 또는 LP-CVD), 스퍼터링 증착, e-빔 공-증착을 포함하는 e-빔 증착, 등, 또는 이들의 조합, 예컨대 CVD 컴포넌트를 갖는 ALD, 예컨대 금속-함유 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는, 불연속적인 ALD-유사 프로세스를 포함한다.
본 개시에 적용 가능한 EUV 포토레지스트 막들로서 전구체들 및 이들의 증착을 위한 방법들의 추가의 기술은 WO2019/217749로 공개되고, 2019년 5월 9일 출원되고, 명칭이 "METHODS FOR MAKING EUV PATTERNABLE HARD MASKS"인, 국제 특허 출원 PCT/US19/31618에서 찾을 수도 있다. 박막들은 막의 화학적 특성 또는 물리적 특성을 개질하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 금속 전구체 및 대응-반응 물질에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 기판 상에 증착 전, 막의 증착 후, 또는 둘 모두에 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 (gentle) 리모트 H2 플라즈마는 일부 Sn-L 결합들을 예를 들어, Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
건식 증착 방법들은 중합된 유기금속 재료를 형성하기 위해 금속 전구체 (예를 들어, 유기금속 전구체와 같은, 금속-함유 전구체) 의 증기 스트림 (stream) 을 선택가능한 대응-반응 물질의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 금속-함유 전구체를 선택 가능한 대응-반응 물질과 혼합하여 중합된 유기금속 재료를 형성할 수 있다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속인 프로세스에서 동시에 발생할 수도 있다. 습식 증착 방법들은 액체 용매 내에 이러한 전구체들 또는 중합된 유기금속 재료를 제공하는 것을 포함할 수 있다.
일 예시적인 연속 CVD 프로세스에서, 기판 상에 (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들 또는 막을 형성하기 위해, 금속 전구체 및 선택가능한 대응-반응 물질의 소스들의, 개별적인 유입구 경로들 내의, 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 가스 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 금속 전구체 및 선택 가능한 대응-반응 물질의 스트림들이 챔버 내에서 혼합되도록 구성되어, 금속 전구체 및 선택 가능한 대응-반응 물질이 반응하여 중합된 유기금속 재료 또는 막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 을 형성한다.
금속 옥사이드를 증착하기 위해, CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr의 압력들에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도 미만이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃ 내지 150 ℃일 수도 있다.
응집된 중합 재료들을 증착하기 위해, CVD 프로세스는 일반적으로 10 mTorr 내지 10 Torr와 같은 감소된 압력에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도이거나 미만이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃ 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 더 무거워지고, 이어서 응결되거나 그렇지 않으면 기판 상에 증착되는 것으로 여겨진다.
증착 방법들은 성장함에 따라 막의 조성을 튜닝하는 데 사용될 수도 있다. CVD 프로세스에서, 이는 증착 동안 금속 전구체 및 대응-반응 물질의 상대적인 플로우들을 변화시킴으로써 달성될 수도 있다. 증착은 0.01 Torr 내지 100 Torr, 그러나 더 일반적으로 약 0.1 Torr 내지 10 Torr의 압력들에서 30 ℃ 내지 200 ℃에서 발생할 수도 있다.
막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 이 또한 ALD 프로세스에 의해 증착될 수도 있다. 예를 들어, 금속 전구체(들) 및 선택 가능한 대응-반응 물질은 ALD 사이클을 나타내는 별개의 시간들에 도입된다. 금속 전구체들은 표면 상에서 반응하여, 사이클 각각에 대해 한번에 재료의 단층 (monolayer) 까지 형성한다. 이는 표면에 걸친 막 두께의 균일성에 대한 우수한 제어를 허용할 수도 있다. ALD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr에서 수행된다. 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃ 내지 150 ℃일 수도 있다. 프로세스는 열적 프로세스 또는 바람직하게, 플라즈마-보조된 증착일 수도 있다.
본 명세서의 임의의 증착 방법들은 2 개 이상의 상이한 금속 전구체들의 사용을 허용하도록 수정될 수 있다. 일 실시 예에서, 전구체들은 동일한 금속이지만 상이한 리간드들을 포함할 수 있다. 또 다른 실시 예에서, 전구체들은 상이한 금속 그룹들을 포함할 수 있다. 일 비제한적인 예에서, 다양한 휘발성 금속-함유 전구체들의 교번하는 플로우들은 상이한 제 2 금속 (예를 들어, Te) 을 갖는 실릴-기반 전구체와 함께 제 1 금속 (예를 들어, Sn) 을 갖는 금속 알콕사이드 전구체의 사용과 같은 혼합된 금속 층을 제공할 수 있다. 본 명세서의 임의의 증착 방법들은 2 개 이상의 상이한 대응-반응 물질들의 사용을 허용하도록 수정될 수 있다.
더욱이, 본 명세서의 임의의 증착 방법들은 막 내에 하나 이상의 층들을 제공하도록 수정될 수 있다. 일 예에서, 상이한 금속 전구체들이 층 각각에 채용될 수 있다. 또 다른 예에서, 동일한 전구체가 층 각각에 채용될 수도 있지만, 최상단 층은 상이한 화학적 조성 (예를 들어, 금속 전구체를 조절하거나 변화시킴으로써 제공될 때, 상이한 금속-리간드 결합들의 밀도, 상이한 금속 대 탄소 비, 또는 상이한 결합된 리간드) 을 가질 수 있다.
본 명세서의 프로세스들은 표면 개질을 달성하기 위해 사용될 수 있다. 일부 반복들에서, 금속 전구체의 증기가 웨이퍼 위를 통과할 수도 있다. 웨이퍼는 반응이 진행되도록 열 에너지를 제공하도록 가열될 수도 있다. 일부 반복들에서, 가열은 약 50 ℃ 내지 약 250 ℃일 수 있다. 일부 경우들에서, 대응-반응 물질의 펄스들이 펌프 및/또는 퍼지 단계들에 의해 분리되어 사용될 수도 있다. 일부 예들에서, 대응-반응 물질은 ALD 또는 ALD-유사 성장을 발생시키는 반응 물질 펄스들 사이에서 펄싱될 수도 있다. 다른 경우들에서, 전구체 및 대응-반응 물질 모두가 동시에 흐를 수도 있다. 표면 개질에 유용한 원소들의 예들은 I, F, Sn, Bi, Sb, Te, 및 이들 화합물들의 옥사이드들 또는 합금들을 포함한다.
본 명세서의 프로세스들은 박형 금속 옥사이드 또는 금속을 증착하도록 사용될 수 있다. 예들은 SnOx, BiOx 및 Te를 포함한다. 증착 후에, 막은 본 명세서의 다른 곳에 기술된 바와 같이, MaRbLc 형태의 알킬 치환된 전구체로 캡핑될 수도 있다. 대응-반응 물질은 리간드들을 더 잘 제거하기 위해 사용될 수도 있고, 기판 표면의 완전한 포화를 보장하기 위해 복수의 사이클들이 반복될 수도 있다. 이어서 표면은 EUV-감응 막이 증착될 준비가 될 수 있다. 일 가능한 방법은 SnOx의 박막을 생성하는 것이다. 가능한 화학 물질들은 테트라키스(디메틸아미노)주석 및 물 또는 O2 플라즈마와 같은 대응-반응 물질을 순환시킴으로써 SnO2의 성장을 포함한다. 성장 후, 캡핑제가 사용될 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수도 있다.
증착 프로세스들은 임의의 유용한 표면 상에 채용될 수 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 기술의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 이러한 표면은 (예를 들어, 그 위에 막이 증착될) 기판 상에, (예를 들어, 그 위에 캡핑 층이 증착될 수 있는) 막 상에, 또는 하부층 상에 존재할 수 있다.
리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함하여, 임의의 유용한 기판이 채용될 수 있다. 일부 실시 예들에서, 기판들은 실리콘 웨이퍼들이다. 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는, 피처들 ("하부 토포그래픽 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다.
이러한 하부 토포그래픽 피처들은 이 기술의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 기술의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다. 다양한 이점들은 하부 피처들을 "충진 (filling in)" 또는 달리 이러한 피처들을 평탄화하지 않고 하부 피처들에 대한 본 기술의 막들의 컨포먼스 (conformance) 로부터, 그리고 폭넓고 다양한 범위의 재료 표면들 상에 막들을 증착하는 능력으로부터 유도될 수도 있다.
일부 실시 예들에서, 인입 웨이퍼는 목표된 재료의 기판 표면으로 준비될 수 있고, 최상부 재료는 레지스트 패턴이 전사되는 층이다. 재료 선택은 집적에 따라 가변할 수도 있지만, 일반적으로 EUV 레지스트 또는 이미징 층에 대해 고 선택도로 (즉, 훨씬 더 빨리) 에칭될 수 있는 재료를 선택하도록 목표된다. 적합한 기판 재료들은 다양한 탄소-기반 막들 (예를 들어, 애시 가능 하드 마스크 (ashable hard mask; AHM)), 실리콘-기반 막들 (예를 들어, 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 또는 실리콘 옥시카보나이트라이드, 뿐만 아니라 SiOx, SiOxNy, SiOxCyNz, a-Si:H, 폴리-Si, 또는 SiN을 포함하는, 이들의 도핑된 형태들), 또는 패터닝 프로세스를 용이하게 하도록 적용된 임의의 다른 (일반적으로 희생적인) 막을 포함할 수 있다.
일부 실시 예들에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 비정질 탄소 (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-도핑된 C, WOx, HfO2, ZrO2, 및 Al2O3를 포함하는 임의의 다양한 재료들을 포함할 수도 있다. 예를 들어, 기판은 바람직하게 SnO2와 같은 SnOx를 포함할 수도 있다. 다양한 실시 예들에서, 층은 1 ㎚ 내지 100 ㎚ 두께, 또는 2 ㎚ 내지 10 ㎚ 두께일 수도 있다.
일부 비제한적인 실시 예들에서, 기판은 하부층을 포함한다. 본 명세서에 기술된 바와 같이, 하부층은 하드 마스크 또는 다른 층 상에 증착될 수도 있고 일반적으로 이미징 층 (또는 막) 아래에 있다. 하부층은 PR의 감도를 개선하고, EUV 흡수율을 증가시키고, 그리고/또는 PR의 패터닝 성능을 증가시키도록 사용될 수도 있다. 상당한 토포그래피를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 (overcoat) 평탄화하는 것일 수 있다. 이러한 애플리케이션들에 대해, 하부층 (또는 복수의 하부층들 중 적어도 하나) 은 스핀-코팅 기법들을 사용하여 도포될 수도 있다. 채용되는 PR 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부층은 유리하게 스핀-코팅 프로세스 또는 건식 진공-기반 증착 프로세스에 의해 도포된, 탄소-기반 막일 수도 있다. 층은 탄소-기반 조성 및 수소-기반 조성을 갖는 다양한 AHM 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다.
일부 실시 예들에서, 표면 활성화 동작은 미래의 동작들을 위해 (예를 들어, 기판 및/또는 막의) 표면을 활성화하도록 사용될 수도 있다. 예를 들어, SiOx 표면에 대해, 물 또는 산소/수소 플라즈마가 표면 상에 하이드록실기들을 생성하도록 사용될 수도 있다. 탄소-기반 표면 또는 하이드로카본-기반 표면에 대해, 다양한 처리 (예를 들어, 물, 수소/산소, CO2 플라즈마 또는 오존 처리) 가 카르복시산들/또는 하이드록실기들을 생성하도록 사용될 수도 있다. 이러한 방법들은, 그렇지 않으면 현상 동안 용매 내 또는 핸들링 동안 디라미네이트되거나 (delaminate) 리프트 오프될 (lift off) 수도 있는, 기판에 대한 레지스트 피처들의 접착을 개선하는 데 중요하다는 것을 증명할 수 있다.
접착은 또한 상호 작용에 이용 가능한 표면적을 증가시키기 위해 표면에 조면화 (roughness) 를 유도함으로써 강화될 수도 있을뿐만 아니라 기계적 접착을 직접적으로 개선할 수도 있다. 예를 들어, 먼저 Ar 또는 다른 비반응성 이온 충격을 사용하는 스퍼터링 프로세스가 거친 표면들을 생성하도록 사용될 수 있다. 이어서, 표면은 상기 기술된 바와 같이 목표된 표면 작용기 (functionality) (예를 들어, 하이드록실기 및/또는 카르복시산기) 로 종단될 수 있다. 탄소 상에서, CO2, O2, 또는 H2O (또는 H2 및 O2의 혼합물들) 과 같은 화학적으로 반응성인 산소-함유 플라즈마가 국소 불균일성을 갖는 막의 박층을 에칭 제거하고 (etch away) 동시에 -OH, -OOH, 또는 -COOH 기들로 종단하도록 사용될 수 있는 조합 접근법이 채용될 수 있다. 이는 바이어스를 사용하거나 사용하지 않고 행해질 수도 있다. 상기 언급된 표면 개질 전략들과 함께, 이 방법은 무기 금속-옥사이드 기반 레지스트에 대한 직접적인 접착을 위해 또는 추가의 기능화 (functionalization) 를 위한 중간 표면 개질로서 기판 표면의 표면 조면화 및 화학적 활성화의 이중 목적을 제공할 수 있다.
다양한 실시 예들에서, (예를 들어, 기판 및/또는 막의) 표면은 표면 상에 노출된 하이드록실기들을 포함한다. 일반적으로, 표면은 노출된 하이드록실 표면을 포함하거나 생성하도록 처리된 임의의 표면일 수도 있다. 이러한 하이드록실기들은 산소 플라즈마, 물 플라즈마, 또는 오존을 사용한 기판의 표면 처리에 의해 표면 상에 형성될 수도 있다. 다른 실시 예들에서, 막의 표면은 노출된 하이드록실기들을 제공하도록 처리될 수 있고, 그 위에 캡핑 층이 도포될 수 있다. 다양한 실시 예들에서, 하이드록시-종단된 금속 옥사이드 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
EUV 노출 프로세스들
막의 EUV 노출은 EUV-매개된 절단 이벤트들에 의해 생성되는 금속 원자 (M) 를 포함하는 활성화된 반응성 중심들을 갖는 EUV 노출된 영역들을 제공할 수 있다. 이러한 반응성 중심들은 댕글링 (dangling) 금속 결합들, M-H 기들, 절단된 M-리간드 기들, 이량체화된 M-M 결합들, 또는 M-O-M 브리지들을 포함할 수 있다. 다른 실시 예들에서, EUV 노출은 막 내 리간드들을 광중합함으로써 교차-결합된 유기 모이어티들을 제공하고; 또는 EUV 노출들은 리간드 내 결합들의 광분해로부터 발생하는 가스 (gaseous) 부산물들을 방출한다.
EUV 노출은 진공 분위기 (vacuum ambient) 에서 약 10 ㎚ 내지 약 20 ㎚의 범위의 파장, 예컨대 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 가질 수 있다. 특히, 패터닝은 패턴을 형성하기 위해 EUV 노출된 영역들 및 EUV 노출되지 않은 영역들을 제공할 수 있다.
본 기술은 EUV, 뿐만 아니라 DUV 또는 e-빔을 사용한 패터닝을 포함할 수 있다. 이러한 패터닝에서, 방사선은 이미징 층의 하나 이상의 영역들 상에 포커싱된다. 노출은 통상적으로 이미징 층 막이 방사선에 노출되지 않은 하나 이상의 영역들을 포함하도록 수행된다. 발생하는 이미징 층은 반도체 디바이스의 트랜지스터 또는 다른 피처들의 생성과 일치하는 패턴을 생성하고, 기판의 후속 프로세싱에서 기판으로부터 재료의 추가 또는 제거에 의해 형성된, 복수의 노출된 영역 및 노출되지 않은 영역을 포함할 수도 있다. 본 명세서에서 유용한 EUV, DUV 및 e-빔 방사선 방법들 및 장비는 공지된 방법들 및 장비를 포함한다.
일부 EUV 리소그래피 기법들에서, 유기 하드마스크 (예를 들어, PECVD 비정질 수소화된 탄소의 애시 가능 하드마스크) 가 패터닝된다. 포토레지스트 노출 동안, EUV 방사선은 레지스트 및 아래의 기판에서 흡수되어, 고 에너제틱 (energetic) 광전자들 (예를 들어, 약 100 eV) 을 생성하고 결국 수 나노 미터만큼 측면으로 확산하는 저에너지 2 차 전자들의 캐스케이드 (예를 들어, 약 10 eV) 를 생성한다. 이들 전자들은 레지스트에서 EUV 도즈 감도를 상승시키는 화학 반응들의 정도를 상승시킨다. 그러나, 본질적으로 랜덤한 2 차 전자 패턴이 광학 이미지 상에 중첩된다 (superimpose). 이 원치 않은 2 차 전자 노출은 패터닝된 레지스트에서 분해능 손실, 식별 가능한 LER 및 라인 폭 변동을 발생시킨다. 이들 결함들은 후속하는 패턴 전사 에칭 동안 패터닝될 재료에 복제된다.
막 형성 (증착/응결) 및 광학 리소그래피를 결합하여 크게 개선된 EUV 리소그래피 (EUVL) 성능-예를 들어 감소된 라인 에지 거칠기-의 결과를 갖는 진공-통합된 금속 하드 마스크 프로세스 및 관련된 진공-통합된 하드웨어가 본 명세서에 개시된다.
본 명세서에 기술된 다양한 실시 예들에서, 증착 (예를 들어, 응결) 프로세스 (예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행된 ALD 또는 MOCVD; 또는 스핀-온 프로세스) 가 (예를 들어, 대략 10 ㎚ 내지 20 ㎚의 파장들의) EUV에서, 예를 들어 EUVL 광원의 파장 (예를 들어, 13.5 ㎚ = 91.8 eV) 에서 강한 흡수로, 감광성 금속 염 또는 금속-함유 유기 화합물 (유기금속 화합물) 과 같은, 금속-함유 막의 박막을 형성하도록 사용될 수 있다. 이 막은 EUV 노출시 광분해되고 후속 에칭 동안 (예를 들어, 도전체 에칭 툴, 예컨대 Lam 2300® Kiyo®에서) 패턴 전사 층인 금속 마스크를 형성한다.
증착 후에, EUV-패터닝 가능한 박막은 통상적으로 상대적으로 고 진공 하에서, EUV 광의 빔으로 노출에 의해 패터닝된다. EUV 노출을 위해, 금속-함유 막은 리소그래피 플랫폼 (예를 들어, 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼과 같은 웨이퍼 스텝퍼) 과 통합된 챔버 내에 증착될 수 있고 노출 전에 반응하지 않도록 진공 하에서 이송된다. 리소그래피 툴과 통합은 EUVL이 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다. 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 동일한 챔버에서 수행될 수도 있다. 또 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 상이한 챔버들에서 수행될 수도 있다.
습식 현상을 포함한 현상 프로세스들
EUV 노출된 영역 또는 노출되지 않은 영역은 모든 유용한 현상 프로세스에 의해 제거될 수 있다. 일 실시 예에서, EUV 노출된 영역은 댕글링 금속 결합들, M-H 기들, 또는 이량체화된 M-M 결합들과 같은 활성화된 반응 중심들을 가질 수 있다. 특정한 실시 예들에서, M-H 기들은 하나 이상의 현상 프로세스들을 채용함으로써 선택적으로 제거될 수 있다. 다른 실시 예들에서, M-M 결합들은 습식 현상 프로세스, 예를 들어, 가용성 M(OH)n 기들을 제공하기 위해 고온 에탄올 및 물의 사용을 채용함으로써 선택적으로 제거될 수 있다. 또 다른 실시 예들에서, EUV 노출된 영역들은 습식 현상의 사용에 의해 (예를 들어, 포지티브 톤 현상제를 사용함으로써) 제거된다. 또 다른 실시 예들에서, EUV 노출되지 않은 영역들은 습식 현상의 사용에 의해 (예를 들어, 네거티브 톤 현상제를 사용함으로써) 제거된다.
일부 실시 예들에서, 건식 동작 및 습식 동작은 건식/습식 프로세스를 제공하도록 조합될 수 있다. 본 명세서의 프로세스 중 임의의 프로세스 (예를 들어, 리소그래피 프로세스들, 증착 프로세스들, EUV 노출 프로세스들, 현상 프로세스들, 전처리 프로세스들, 도포-후 프로세스들, 등) 에 대해, 다양한 특정한 동작은 습식 실시 예, 건식 실시 예, 또는 습식 및 건식 실시 예를 포함할 수 있다. 예를 들어, 습식 증착은 습식 현상과 결합될 수 있고; 또는 건식 증착은 습식 현상과 결합될 수 있다. 이들 중 임의의 것은 결국 본 명세서에 기술된 바와 같이, 습식 또는 건식 도포 전 프로세스 및 습식 또는 건식 도포 후 프로세스와 결합될 수 있다.
특정한 실시 예들에서, 습식 현상 방법들이 또한 채용될 수 있다. 특정한 실시 예들에서, 이러한 습식 현상 방법들은 포지티브 톤 포토레지스트 또는 네거티브 톤 레지스트를 제공하기 위해 EUV 노출된 영역들을 제거하도록 사용된다. 예시적인, 비제한적인 습식 현상은, 예컨대 암모늄, 예를 들어, 암모늄 하이드록사이드 (NH4OH); 암모늄-기반 이온성 액체들, 예를 들어, 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라에틸암모늄 하이드록사이드 (TEAH), 테트라프로필암모늄 하이드록사이드 (TPAH), 테트라부틸암모늄 하이드록사이드 (TBAH), 또는 다른 4 차 알킬암모늄 하이드록사이드들; 유기아민, 예컨대 모노-유기 아민, 디-유기 아민, 및 트리-유기 아민 (예를 들어, 디메틸아민, 디에틸아민, 에틸렌디아민, 트리에틸렌테트라민); 또는 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 또는 디에틸렌글리콜아민과 같은 알칸올아민을 포함하는, 알칼리 현상액 (예를 들어, 수성 알칼리 현상액) 의 사용을 포함할 수 있다. 다른 실시 예들에서, 알칼리 현상제는 질소-함유 염기들, 예를 들어, 화학식 RN1NH2, RN1RN2NH, RN1RN2RN3N, 또는 RN1RN2RN3RN4N+XN1-를 갖는 화합물들을 포함할 수 있고, RN1, RN2, RN3, 및 RN4 각각은 독립적으로, 유기 치환기 (예를 들어, 선택가능하게 치환된 알킬 또는 본 명세서에 기술된 임의의 치환기), 또는 함께 결합될 수 있는 2 개 이상의 유기 치환기들이고, 그리고 XN1-는 OH-, F-, Cl-, Br-, I-, 또는 다른 당업계에 공지된 4 차 암모늄 양이온 종을 포함할 수도 있다. 이들 염기들은 또한 일부가 본 명세서에 기술된, 헤테로사이클릴 질소 화합물들을 포함할 수도 있다.
다른 현상 방법론들은 할라이드 (예를 들어, HCl 또는 HBr), 유기산 (예를 들어, 포름산, 아세트산, 또는 시트르산), 또는 유기 불소 화합물 (예를 들어, 트리플루오로아세트산) 을 포함하는 산 현상제 (예를 들어, 수성 산 현상제 또는 유기 용매의 산 현상제) 의 사용; 또는 유기 현상제, 예컨대 케톤 (예를 들어, 2-헵타논, 사이클로헥사논, 또는 아세톤), 에스테르 (예를 들어, γ-부티로락톤 또는 에틸 3-에톡시프로피오네이트 (EEP)), 알코올 (예를 들어, 이소프로필 알코올 (IPA)), 또는 에테르, 예컨대 글리콜 에테르 (예를 들어, 프로필렌 글리콜 메틸 에테르 (PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)), 뿐만 아니라 이들의 조합들의 사용을 포함할 수 있다.
특정한 실시 예들에서, 포지티브 톤 현상제는 수성 알칼리 현상제 (예를 들어, NH4OH, TMAH, TEAH, TPAH, 또는 TBAH를 포함) 이다. 다른 실시 예들에서, 네거티브 톤 현상제는 수성 산 현상제, 유기 용매 내의 산 현상제, 또는 유기 현상제 (예를 들어, HCl, HBr, 포름산, 트리플루오로아세트산, 2-헵타논, IPA, PGME, PGMEA, 또는 이들의 조합) 이다.
도포 후 (post-application) 프로세스들
본 명세서의 방법들은 이하에 기술된 바와 같이, 임의의 유용한 도포-후 프로세스들을 포함할 수 있다.
배면 및 베벨 세정 프로세스를 위해, 증기 및/또는 플라즈마는 웨이퍼의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 웨이퍼의 특정한 영역으로 제한될 수 있다. 제거될 증착된 EUV 포토레지스트 막들은 일반적으로 Sn, O 및 C로 구성되지만, 동일한 세정 접근법들이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다. 이에 더하여, 이 접근법은 또한 막 스트립 및 PR 재작업 (rework) 을 위해 사용될 수 있다.
건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HCl, HBr, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2), -10 ℃ 내지 120 ℃ (예를 들어, 20 ℃의 온도, 20 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 더 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출된 영역과 노출되지 않은 영역 사이의 화학적 콘트라스트를 생성하도록 요구되는 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 대량 제작 (high volume manufacturing; HVM) 을 위해, 이러한 소성 단계들은 통상적으로 웨이퍼들이 주변 공기 또는 일부 경우들에서 N2 플로우 하에서 미리 설정된 온도의 핫-플레이트 상에서 소성되는 트랙들 상에서 수행된다. 이들 소성 단계들 동안 분위기에 부가적인 반응성 가스 컴포넌트의 도입뿐만 아니라 소성 분위기의 더 신중한 제어는 도즈 요건을 더 감소시키고 그리고/또는 패턴 충실도 (fidelity) 를 개선하는 것을 도울 수 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, PAB (post-application bake)) 및/또는 노출-후 (예를 들어, PEB (post-exposure bake)) 및/또는 현상-후 (예를 들어, PDB (post-development bake)) 금속 및/또는 금속 옥사이드-기반 포토레지스트들에 대한 하나 이상의 후 처리들은 노출된 포토레지스트와 노출되지 않은 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고, 따라서 후속 현상 후 도즈 대 사이즈 (dose to size; DtS) 를 감소시키고, PR 프로파일을 개선하고, 라인 에지 및 폭 거칠기 (LER/LWR) 를 개선할 수 있다.
도포 후 프로세싱 (예를 들어, PAB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 및 수분을 제어하는 열적 프로세스가 노출되지 않은 금속 및/또는 금속 옥사이드 포토레지스트의 조성을 변화시키기 위해 증착 후 그리고 노출 전에 사용될 수 있다. 변화는 재료의 EUV 감도를 상승시킬 수 있고, 따라서 더 낮은 도즈 대 사이즈 및 에지 거칠기가 노출 및 현상 후에 달성될 수 있다.
노출-후 프로세싱 (예를 들어, PEB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 그리고 수분의 제어를 사용한 열적 프로세스는 노출되지 않은 포토레지스트와 노출된 포토레지스트 모두의 조성을 변화시키도록 사용될 수 있다. 변화는 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성들 차 및 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 현상 레이트 차를 증가시킬 수 있다. 이에 따라 더 높은 현상 선택도가 달성될 수 있다. 개선된 선택도로 인해, 개선된 표면 거칠기, 및/또는 더 적은 포토레지스트 잔류물/스컴을 갖는 더 정돈된 (squarer) PR 프로파일이 획득될 수 있다. 특정한 실시 예들에서, PEB는 공기 중에서 그리고 수분 및 CO2의 선택 가능한 존재 하에 수행될 수 있다.
현상-후 프로세싱 (예를 들어, 현상-후 소성 (post development bake) 또는 PDB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물들) 또는 진공 하에서 (예를 들어, UV를 사용하여), 그리고 수분의 제어를 사용한 열적 프로세스는 노출되지 않은 포토레지스트의 조성을 변화시키도록 사용될 수 있다. 특정한 실시 예들에서, 조건은 또한 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 이들의 혼합물들을 포함함) 의 사용을 포함한다. 변화는 재료의 경도를 상승시킬 수 있고, 이는 하부 기판을 에칭할 때 막이 레지스트 마스크로서 사용될 경우 유리할 수 있다.
이들 경우들에서, 대안적인 구현 예들에서, 열적 프로세스는 반응에 대한 에너지 배리어를 낮추고 생산성을 상승시키기 위해 반응성 종을 증가시키도록 리모트 플라즈마 프로세스로 대체될 수 있다. 리모트 플라즈마는 더 많은 반응성 라디칼들을 생성할 수 있고 따라서 처리를 위한 반응 온도/시간을 낮춰 상승된 생산성을 유도한다.
따라서, 현상 선택도를 상승시키도록 포토레지스트 자체를 개질하도록 하나 또는 복수의 프로세스들이 적용될 수도 있다. 예를 들어, 열적 또는 라디칼 개질은 노출되지 않은 재료와 노출된 재료 사이의 콘트라스트를 상승시킬 수 있고 따라서 후속하는 현상 단계의 선택도를 상승시킬 수 있다.
메커니즘에 의해 제한되지 않고, 습식 현상은 재료 용해도에 의존할 수 있고, 예를 들어 220 ℃ 이상으로 가열하면, 모두 습식 현상 용매들에 불용성이 되어, 막이 더 이상 신뢰할 수 있게 습식 현상될 수 없도록, 금속-함유 PR 막의 노출된 영역과 노출되지 않은 영역 모두에서 교차-결합 정도를 크게 증가시킬 수 있다. 예를 들어, 습식 스핀-온 또는 습식-현상된 금속-함유 PR 막들에 대해, PAB, PEB와 같은 소성이 예를 들어 180 ℃ 미만 또는 200 ℃ 미만 또는 250 ℃ 미만의 온도에서 수행될 수도 있다. PAB, PEB, 또는 PDB의 처리 온도는 처리 프로세스를 튜닝하고 최적화하도록 예를 들어 (예를 들어, PAB, PEB, 및/또는 PDB에 대해) 약 90 ℃ 내지 250 ℃ 예컨대 90 ℃ 내지 190 ℃, 90 ℃ 내지 600 ℃, 100 ℃ 내지 400 ℃, 125 ℃ 내지 300 ℃ 및 약 170 ℃ 내지 250 ℃ 이상, 예컨대 190 ℃ 내지 240 ℃ 윈도우에 걸쳐 가변될 수 있다. 감소하는 에칭 레이트 및 더 큰 에칭 선택도가 언급된 범위들의 더 높은 처리 온도들에서 발생하는 것으로 밝혀졌다.
특정한 실시 예들에서, PAB, PEB, 및/또는 PDB 처리들은 100 sccm 내지 10000 sccm 범위의 가스 분위기 플로우, 수 퍼센트 내지 최대 100 %의 양의 수분 함량 (예를 들어, 20 % 내지 50 %) 의 수분 함량으로, 대기압과 진공 사이의 압력에서, 그리고 약 1 내지 15 분, 예를 들어 약 2 분의 지속 기간 동안 수행될 수도 있다.
이들 결과들은 특정한 재료들 및 상황들에 대해 프로세싱을 조정하거나 최적화하도록 처리 조건들을 튜닝하는 데 사용될 수 있다. 예를 들어, 약 2 분 동안 약 20 % 습도에서 공기 중에서 220 ℃ 내지 250 ℃ 열 처리를 사용하여 미리 결정된 EUV 도즈에 대해 달성된 선택도는 이러한 열 처리 없이 약 30 % 더 높은 EUV 도즈에 대한 선택도와 유사하게 이루어질 수 있다. 따라서, 반도체 프로세싱 동작의 선택도 요건들/제약 조건들에 따라, 본 명세서에 기술된 바와 같은 열적 처리가 필요한 EUV 도즈를 낮추기 위해 사용될 수 있다. 또는, 더 높은 선택도가 요구되고 더 높은 도즈가 용인될 (tolerate) 수 있다면, 습식 현상 맥락에서 가능한 것보다 훨씬 더 높은 선택도, 최대 100 배 노출 대 비노출이 획득될 수 있다.
또 다른 단계들은 물리적 특징 및 구조적 특징 (예를 들어, 임계 치수, 막 두께, 등) 이 포토리소그래피 프로세스 동안 평가될 수 있는 인 시츄 계측을 포함할 수 있다. 인 시츄 계측을 구현하기 위한 모듈들은, 예를 들어, 산란계, 타원계, 다운스트림 (downstream) 질량 분광, 및/또는 플라즈마 강화된 다운스트림 광학 방출 분광 모듈들을 포함한다.
장치들
본 개시는 또한 본 명세서에 기술된 임의의 방법들을 수행하도록 구성된 임의의 장치를 포함한다. 일 실시 예에서, 막을 증착하기 위한 장치는 선택 가능한 대응-반응 물질의 존재 하에 금속 전구체를 제공함으로써 막으로서 EUV-감응 재료를 증착하기 위한 챔버를 포함하는 증착 모듈; 30 ㎚ 미만의 파장 방사선의 소스를 갖는 EUV 포토리소그래피 툴을 포함하는 패터닝 모듈; 및 금속 킬레이터의 존재 하에 막을 현상하기 위한 챔버를 포함하는 현상 모듈을 포함한다.
장치는 이러한 모듈들을 위한 인스트럭션들을 갖는 제어기를 더 포함할 수 있다. 일 실시 예에서, 제어기는 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 막의 증착을 수행하기 위한 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함한다. 이러한 인스트럭션들은 증착 모듈에서, 기판 또는 포토레지스트 층의 상단 표면 상에 막으로서 금속 전구체를 증착하고; 패터닝 모듈에서, EUV 노출에 의해 30 ㎚ 미만 분해능으로 막을 직접 패터닝하여, 막 내에 패턴을 형성하고; 그리고 현상 모듈에서, 금속 킬레이터의 존재 하에 막을 현상하기 위한 인스트럭션들을 포함할 수 있다. 특정한 실시 예들에서, 현상 모듈은 EUV 노출되거나 EUV 노출되지 않은 영역들의 제거를 제공하여, 막 내에 패턴을 제공한다.
도 4는 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (400) 의 실시 예를 도시한다. 프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 6은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 5 및 도 6을 참조하여 본 명세서에 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 본 명세서에 기술된 바와 같이, 에칭될 층 또는 층 스택 상에 배치된 포토패터닝된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서 포토패터닝에 이어서 현상/에칭 챔버 (예를 들어, 습식 현상/에칭 챔버) 로 수용하고, 포토패터닝된 EUV 레지스트 박막 층을 현상하고, 그리고 이어서 패터닝된 EUV 레지스트를 마스크로서 사용하여 아래에 있는 층을 에칭하기 위한 방법들 및 장치에 관한 것이다.
습식 현상 챔버는 노출된 막 또는 기판에 현상제를 제공하도록 구성된 임의의 챔버일 수 있다. 일 예에서, 챔버는 내부에 습식 현상제를 담을 수 있고, 그리고 노출된 막 또는 기판은 (예를 들어, 침지 현상에서와 같이) 습식 현상제에 침지된다. 또 다른 예에서, 챔버는 (예를 들어, 스프레이-온 현상에서와 같이) 습식 현상제를 노출된 막 또는 기판으로 전달하기 위해 하나 이상의 샤워헤드들, 스프레이들, 노즐들, 디스펜서들, 등을 포함할 수 있다.
이어서 기판은 챔버 내에 제공될 수 있고 페데스탈 상에 배치될 수 있다. 예를 들어, 기판은 디스펜서 밑에 위치될 수 있고 페데스탈 상에 놓일 수 있다. 일부 실시 예들에서, 페데스탈은 기판과 샤워헤드 사이의 볼륨에 기판을 노출시키도록 상승되거나 하강될 수도 있다. 게다가, 페데스탈은 습식 현상제의 전달 동안 회전될 수도 있다. 따라서, 일부 실시 예들에서, 페데스탈은 기판을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 4는 인바운드 로드 록 (402) 및 아웃바운드 로드 록 (404) 을 갖는 멀티-스테이션 프로세싱 툴 (400) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (402) 및 아웃바운드 로드 록 (404) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (406) 은, 카세트로부터 포드 (408) 를 통해 로딩된 웨이퍼들을 인바운드 로드 록 (402) 으로 대기 포트 (410) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (402) 내의 페데스탈 (412) 상에 로봇 (406) 에 의해 배치되고, 대기 포트 (410) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (402) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (414) 내로 도입되기 전에 로드 록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (402) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (414) 로의 챔버 이송 포트 (416) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 4에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (414) 는 도 4에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 418로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (414) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 4는 프로세싱 챔버 (414) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (490) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (490) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 4는 또한 프로세스 툴 (400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (450) 의 실시 예를 도시한다. 시스템 제어기 (450) 는 하나 이상의 메모리 디바이스들 (456), 하나 이상의 대용량 저장 디바이스들 (454), 및 하나 이상의 프로세서들 (452) 을 포함할 수도 있다. 프로세서 (452) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 는 프로세스 툴 (400) 의 모든 액티비티들을 제어한다. 시스템 제어기 (450) 는 대용량 저장 디바이스 (454) 에 저장되고 메모리 디바이스 (456) 내로 로딩되어 프로세서 (452) 상에서 실행되는 시스템 제어 소프트웨어 (458) 를 실행한다. 대안적으로, 제어 로직은 제어기 (450) 에 하드코딩될 (hard coded) 수도 있다. 응용 주문형 집적 회로들 (applications specific integrated circuits; ASICs), 프로그램 가능 로직 소자들 (programmable logic devices; PLDs) (예를 들어, 필드 프로그램 가능 게이트 어레이들 (field-programmable gate arrays), 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (458) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (400) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는 데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (458) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (450) 와 연관된 대용량 저장 디바이스 (454) 및/또는 메모리 디바이스 (456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (418) 상에 기판을 로딩하고 기판과 프로세스 툴 (400) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 다양한 가스 조성들 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (450) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (450) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (400) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (450) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (450) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (450) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (450) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 프로세싱 조건들 및/또는 시스템의 타입에 따라, 시스템 제어기 (450) 는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (450) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드 포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), ASICs로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (450) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (450) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (450) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (450) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (450) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (450) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 유도 커플링 플라즈마 (Inductively Coupled Plasma; ICP) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 5는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기 위해 적절한 유도 커플링 플라즈마 장치 (500) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (500) 는 챔버 벽들 (501) 및 윈도우 (511) 에 의해 구조적으로 규정된 전체 프로세스 챔버를 포함한다. 챔버 벽들 (501) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (511) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (550) 가 전체 프로세스 챔버를 상부 서브챔버 (502) 및 하부 서브챔버 (503) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (550) 는 제거될 수도 있고, 이에 따라 서브챔버들 (502 및 503) 로 이루어진 챔버 공간을 활용한다. 척 (517) 이 하단 내측 표면 근방의 하부 서브챔버 (503) 내에 위치된다. 척 (517) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (519) 를 수용하고 홀딩하도록 구성된다. 척 (517) 은 존재한다면 웨이퍼 (519) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (517) 을 둘러싸고, 척 (517) 위에 존재한다면 웨이퍼 (519) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (517) 은 또한 웨이퍼 (519) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다.
척 (517) 으로부터 웨이퍼 (519) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (517) 은 RF 전력 공급부 (523) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (523) 는 연결부 (527) 를 통해 매칭 회로망 (521) 에 접속된다. 매칭 회로망 (521) 은 연결부 (525) 를 통해 척 (517) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (523) 는 척 (517) 에 접속된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (511) 위에 위치된 코일 (533) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (533) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 5에 도시된 코일 (533) 의 예는 3 개의 턴들을 포함한다. 코일 (533) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (533) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (541) 를 포함한다. 일반적으로, RF 전력 공급부 (541) 는 연결부 (545) 를 통해 매칭 회로 (539) 에 접속된다. 매칭 회로망 (539) 은 연결부 (543) 를 통해 코일 (533) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (541) 는 코일 (533) 에 접속된다. 선택 가능한 패러데이 차폐부 (549) 가 코일 (533) 과 윈도우 (511) 사이에 포지셔닝된다. 패러데이 차폐부 (549) 는 코일 (533) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (549) 는 윈도우 (511) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부는 윈도우 (511) 와 척 (517) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부는 코일 (533) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부는 갭 없이 윈도우 바로 아래에 있을 수도 있다. 코일 (533), 패러데이 차폐부 (549), 및 윈도우 (511) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (549) 는 금속 또는 다른 종이 프로세스 챔버의 윈도우 (511) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (502) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (560) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (570) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (540) 가, 프로세스 챔버로부터 프로세스 가스들을 인출하고 프로세스 챔버 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (503) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (500) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (560 및/또는 570) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (560) 를 통해서만, 또는 측면 가스 플로우 유입구 (570) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 더 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (549) 및/또는 선택 가능한 그리드 (550) 는 프로세스 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (549) 및 선택 가능한 그리드 (550) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (560 및/또는 570) 를 통해 프로세스 챔버 내로 도입되도록, 프로세스 챔버의 업스트림 (upstream) 에 놓일 수도 있다.
RF 전류로 하여금 코일 (533) 을 통해 흐르게 하도록, RF 전력 공급부 (541) 로부터 코일 (533) 로 무선 주파수 전력이 공급된다. 코일 (533) 을 통해 흐르는 RF 전류는 코일 (533) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (502) 내에 유도 전류를 생성한다. 웨이퍼 (519) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (519) 의 피처들을 에칭하고 웨이퍼 (519) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (502) 및 하부 서브챔버 (503) 모두가 있도록 플라즈마 그리드 (550) 가 사용된다면, 유도 전류는 상부 서브챔버 (502) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (502) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (550) 는 하부 서브챔버 (503) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (500) 는 하부 서브챔버 (503) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 더 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (522) 를 통해 하부 서브챔버 (503) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (517) 은 약 30 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (500) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (500) 에 커플링된다. 부가적으로, 장치 (500) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (500) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (530) 가 프로세스 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (530) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (500) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (500) 는 최대 약 600 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (530) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (530) 로 통합될 수도 있다. 프로세싱 파라미터들 및/또는 시스템의 타입에 따라, 시스템 제어기는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (530) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드 포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (530) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (530) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (530) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 습식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 더 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 6은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 6은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (638) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (620a 내지 620d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (620a 내지 620d) 은 증착, 증발, ELD, 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (620a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (620b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드 록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (642 및 646) 은 VTM (638) 및 패터닝 모듈 (640) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 6을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (642) 은 증착 모듈 (620a) 을 서비스하는 VTM (638) 으로부터 패터닝 모듈 (640) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드 록일 수도 있고, 에어록 (646) 은 패터닝 모듈 (640) 로부터 VTM (638) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드 록일 수도 있다. 인입 로드 록 (646) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (638) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (620a) 은 패싯 (636) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (626) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (640) 및 에어록들 (642 및 646) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (622) 은 에어록들 (642 및 646) 을 포함하는 모듈들 사이에서 웨이퍼 (626) 를 이송한다. 일 실시 예에서, 로봇 (622) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (622) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (626) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (624) 를 갖는다. 프론트 엔드 로봇 (644) 은 인출 에어록 (642) 으로부터 패터닝 모듈 (640) 내로, 패터닝 모듈 (640) 로부터 인입 에어록 (646) 내로 웨이퍼들 (626) 을 이송하도록 사용된다. 프론트 엔드 로봇 (644) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드 록과 툴의 외부 사이에서 웨이퍼들 (626) 을 이송할 수도 있다. 인입 에어록 모듈 (646) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (626) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 더 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 (degas) 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (642) 은 패터닝 툴 (640) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (640) 내의 압력보다 더 높지 않은, 더 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (650) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 (phase) 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified resist) 의 피처를 패터닝하고, 포토패터닝된 레지스트를 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 명세서에 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (36)

  1. 방사선 패터닝된 막을 처리하기 위한 방법에 있어서,
    방사선 노출된 영역과 방사선 노출되지 않은 영역 사이에 배치되거나 (dispose) 또는 방사선 노출된 영역 내에 배치된 계면 영역을 갖는 방사선 패터닝된 막을 제공하는 단계로서, 상기 계면 영역은 방사선 노출된 금속 중심을 포함하는, 상기 방사선 패터닝된 막을 제공하는 단계; 및
    금속 킬레이터 (chelator) 의 존재 하에 상기 방사선 패터닝된 막을 현상하는 단계로서, 상기 금속 킬레이터는 상기 계면 영역의 상기 방사선 노출된 금속 중심에 결합하도록 (bind) 구성되는, 상기 방사선 패터닝된 막을 현상하는 단계를 포함하는, 방사선 패터닝된 막 처리 방법.
  2. 제 1 항에 있어서,
    상기 방사선 패터닝된 막은 극자외선 (extreme ultraviolet; EUV)-감응 막을 포함하는, 방사선 패터닝된 막 처리 방법.
  3. 제 2 항에 있어서,
    상기 계면 영역은 적어도 하나의 EUV 노출된 영역과 적어도 하나의 EUV 노출되지 않은 영역 사이에 배치된 전이 영역을 포함하는, 방사선 패터닝된 막 처리 방법.
  4. 제 2 항에 있어서,
    상기 현상하는 단계는 상기 계면 영역을 제거하는 단계를 더 포함하는, 방사선 패터닝된 막 처리 방법.
  5. 제 2 항에 있어서,
    상기 현상하는 단계는 상기 방사선 노출되지 않은 영역과 비교하여, 상기 방사선 노출된 영역을 우선적으로 제거하는 용매 또는 용매 혼합물을 채용하는 단계를 더 포함하는, 방사선 패터닝된 막 처리 방법.
  6. 제 5 항에 있어서,
    상기 금속 킬레이터는 상기 용매 또는 상기 용매 혼합물에 가용성 (soluble) 인, 방사선 패터닝된 막 처리 방법.
  7. 제 2 항에 있어서,
    상기 금속 킬레이터는 상기 방사선 노출되지 않은 영역에 존재하는 금속 중심과 비교하여, 상기 계면 영역의 상기 방사선 노출된 금속 중심에 우선적으로 결합하는, 방사선 패터닝된 막 처리 방법.
  8. 제 2 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 금속 킬레이터는 디카르보닐 (dicarbonyl), 디올 (diol), 카르복시산 (carboxylic acid), 이산 (diacid), 삼산 (triacid), 하이드록시카르복시산 (hydroxycarboxylic acid), 하이드록삼산 (hydroxamic acid), 하이드록시락톤 (hydroxylactone), 하이드록시케톤 (hydroxyketone), 또는 이들의 염을 포함하는, 방사선 패터닝된 막 처리 방법.
  9. 제 8 항에 있어서,
    상기 금속 킬레이터는 포름산 (formic acid), 시트르산 (citric acid), 아세틸아세톤 (acetylacetone), 살리실산 (salicylic acid), 카테콜 (catechol), 또는 아스코르브산 (ascorbic acid) 을 포함하는, 방사선 패터닝된 막 처리 방법.
  10. 제 8 항에 있어서,
    상기 디카르보닐은 1,3-디케톤인, 방사선 패터닝된 막 처리 방법.
  11. 제 8 항에 있어서,
    상기 카르복시산은 RA1-CO2H를 포함하고, RA1은 H, 선택 가능하게 (optionally) 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 하이드록시아릴, 선택 가능하게 치환된 카르복시알킬, 선택 가능하게 치환된 카르복시아릴, 또는 선택 가능하게 치환된 아릴인, 방사선 패터닝된 막 처리 방법.
  12. 제 8 항에 있어서,
    상기 하이드록삼산은 RA1-C(O)NRA2OH를 포함하고, RA1 및 RA2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴인, 방사선 패터닝된 막 처리 방법.
  13. 제 8 항에 있어서,
    상기 하이드록시케톤은 하이드록시피리디논, 하이드록시피리미돈, 또는 하이드록시피론을 포함하는, 방사선 패터닝된 막 처리 방법.
  14. 제 8 항에 있어서,
    상기 하이드록시케톤은 화학식 (I) 의 구조, 화학식 (II) 의 구조, 또는 화학식 (III) 의 구조:
    Figure pct00007
    ,
    Figure pct00008
    ,
    Figure pct00009
    , 또는 이들의 염을 포함하고,
    X1 및 X2 각각은 독립적으로 -CR1= 또는 -N=이고; 그리고
    R1 및 R2 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드록시알킬, 선택 가능하게 치환된 카르복시알킬, -C(O)NRN1RN2, 또는 -C(O)ORO1이고, 여기서 RN1, RN2, 및 RO1 각각은 독립적으로, H, 또는 선택 가능하게 치환된 알킬이고, 선택 가능하게 RN1 및 RN2는, 함께 취해질 때, 선택 가능하게 치환된 헤테로사이클릴을 형성하고; 그리고
    R3은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴인, 방사선 패터닝된 막 처리 방법.
  15. 제 2 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 금속 킬레이터는 백본 (backbone) 상에 배치된 복수의 모이어티들 (moieties) 을 포함하고, 그리고 상기 복수의 모이어티들은 하이드록실, 카르복실, 아미도, 아미노, 및 옥소로 구성된 그룹으로부터 선택되는, 방사선 패터닝된 막 처리 방법.
  16. 제 15 항에 있어서,
    상기 복수의 모이어티들은 디카르보닐, 디올, 카르복시산, 이산, 삼산, 하이드록시카르복시산, 하이드록삼산, 하이드록시락톤, 하이드록시케톤, 또는 이들의 염의 1가 또는 다가 형태를 포함하는, 방사선 패터닝된 막 처리 방법.
  17. 제 2 항에 있어서,
    상기 방사선 노출된 금속 중심은 전이 금속을 포함하는, 방사선 패터닝된 막 처리 방법.
  18. 제 2 항에 있어서,
    상기 방사선 노출된 금속 중심은 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 또는 탄탈룸 (Ta) 을 포함하는, 방사선 패터닝된 막 처리 방법.
  19. 제 2 항에 있어서,
    상기 방사선 패터닝된 막은 금속 옥사이드 막 또는 유기금속 옥사이드 막을 포함하는, 방사선 패터닝된 막 처리 방법.
  20. 제 19 항에 있어서,
    상기 방사선 패터닝된 막은 화학식 (IV):
    MaRb (IV) 을 갖는 구조를 포함하는 금속 전구체로부터 형성되고,
    M은 금속이고;
    R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
    a ≥ 1 이고; 그리고 b ≥ 1인, 방사선 패터닝된 막 처리 방법.
  21. 제 20 항에 있어서,
    M은 주석 (Sn), 텔루륨 (Te), 비스무트 (Bi), 안티몬 (Sb), 탄탈룸 (Ta), 세슘 (Cs), 인듐 (In), 몰리브덴 (Mo), 또는 하프늄 (Hf) 인, 방사선 패터닝된 막 처리 방법.
  22. 제 2 항에 있어서,
    상기 현상하는 단계는 2 개 이상의 상이한 금속 킬레이터들의 존재 하에 현상하는 단계를 더 포함하는, 방사선 패터닝된 막 처리 방법.
  23. 제 1 항에 있어서,
    상기 방사선 패터닝된 막을 제공하는 단계 후, 180 ℃ 미만의 온도에서 노출-후 소성을 수행하는 단계를 더 포함하는, 방사선 패터닝된 막 처리 방법.
  24. 제 1 항에 있어서,
    상기 방사선 패터닝된 막을 제공하는 단계는,
    레지스트 막으로서 패터닝 방사선-감응 막을 제공하는 단계; 및
    패터닝 방사선 노출에 의해 상기 레지스트 막을 패터닝하여, 하나 이상의 방사선 노출된 영역들, 하나 이상의 방사선 노출되지 않은 영역들, 및 상기 방사선 노출된 영역들 중 적어도 하나와 상기 방사선 노출되지 않은 영역들 중 적어도 하나 사이에 배치되거나 또는 방사상 노출된 영역 내에 배치되는 계면 영역을 제공하는 단계를 포함하는, 방사선 패터닝된 막 처리 방법.
  25. 제 24 항에 있어서,
    상기 패터닝 방사선-감응 막은 스핀-코팅에 의해 제공되는, 방사선 패터닝된 막 처리 방법.
  26. 제 24 항에 있어서,
    상기 패터닝 단계 전에, 180 ℃ 미만의 온도에서 도포-후 소성을 수행하는 단계를 더 포함하는, 방사선 패터닝된 막 처리 방법.
  27. 레지스트를 채용하는 방법에 있어서,
    레지스트 막으로서 패터닝 방사선-감응 막을 제공하도록 기판의 표면 상에 금속 전구체를 증착하는 단계;
    패터닝 방사선 노출에 의해 상기 레지스트 막을 패터닝하여, 하나 이상의 방사선 노출된 영역들, 하나 이상의 방사선 노출되지 않은 영역들, 및 상기 방사선 노출된 영역들 중 적어도 하나와 상기 방사선 노출되지 않은 영역들 중 적어도 하나 사이에 배치되거나 또는 방사상 노출된 영역 내에 배치되는 계면 영역을 제공하는 단계; 및
    상기 레지스트 내에 패턴을 제공하기 위해 금속 킬레이터 및 용매의 존재 하에 상기 노출된 막을 현상하여, 상기 계면 영역과 상기 방사선 노출된 영역 또는 상기 방사선 노출되지 않은 영역 중 하나를 제거하는 단계를 포함하는, 레지스트 채용 방법.
  28. 제 27 항에 있어서,
    상기 패터닝 방사선-감응 막은 EUV-감응 막을 포함하는, 레지스트 채용 방법.
  29. 제 28 항에 있어서,
    상기 패터닝 방사선 노출은 진공 분위기에서 약 10 ㎚ 내지 약 20 ㎚ 범위의 파장을 갖는 EUV 노출을 포함하는, 레지스트 채용 방법.
  30. 제 28 항에 있어서,
    상기 패턴은 상기 금속 킬레이터 없이 현상된 패턴과 비교하여, 감소된 라인-에지-거칠기 (line edge roughness; LER) 를 포함하는, 레지스트 채용 방법.
  31. 제 28 항에 있어서,
    상기 금속 킬레이터는 상기 계면 영역을 우선적으로 제거하도록 구성되고 그리고 상기 용매는 상기 방사선 노출된 영역들 또는 상기 방사선 노출되지 않은 영역들 중 하나를 우선적으로 제거하도록 구성되는, 레지스트 채용 방법.
  32. 레지스트 막을 형성하기 위한 장치에 있어서,
    패터닝 방사선-감응 막을 증착하기 위한 챔버를 포함하는 증착 모듈;
    300 ㎚ 미만 (sub-300 ㎚) 의 파장 방사선의 소스를 갖는 포토리소그래피 툴을 포함하는 패터닝 모듈;
    레지스트 막을 현상하기 위한 챔버를 포함하는 현상 모듈; 및
    하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함하는 제어기를 포함하고, 상기 인스트럭션들은,
    상기 증착 모듈에서, 레지스트 막으로서 상기 패터닝 방사선-감응 막을 형성하도록 반도체 기판의 상단 표면 상에 금속 전구체의 증착을 유발하는 동작;
    상기 패터닝 모듈에서, 패터닝 방사선 노출에 의해 직접적으로 300 ㎚ 미만의 분해능으로 레지스트 막의 패터닝을 유발하여, 하나 이상의 방사선 노출된 영역들, 하나 이상의 방사선 노출되지 않은 영역들, 및 상기 방사선 노출된 영역들 중 적어도 하나와 상기 방사선 노출되지 않은 영역들 중 적어도 하나 사이에 또는 방사선 노출된 영역 내에 배치된 계면 영역을 형성하는 동작; 및
    상기 현상 모듈에서, 상기 레지스트 막 내에 패턴을 제공하기 위해 상기 계면 영역과 상기 방사선 노출된 영역들 또는 상기 방사선 노출되지 않은 영역들 중 적어도 하나를 제거하도록 금속 킬레이터 및 용매의 존재 하에 상기 노출된 막의 현상을 유발하는 동작을 위한 머신-판독 가능 인스트럭션들을 포함하는, 레지스트 막 형성 장치.
  33. 제 32 항에 있어서,
    상기 패터닝 방사선-감응 막은 EUV-감응 막을 포함하는, 레지스트 막 형성 장치.
  34. 제 33 항에 있어서,
    상기 포토리소그래피 툴을 위한 상기 소스는 30 ㎚ 미만 파장 방사선의 소스인, 레지스트 막 형성 장치.
  35. 제 34 항에 있어서,
    상기 머신-판독 가능 인스트럭션들을 포함하는 인스트럭션들은,
    상기 패터닝 모듈에서, EUV 노출에 의해 직접적으로 30 ㎚ 미만의 분해능으로 레지스트 막의 패터닝을 유발하여, EUV 노출된 영역들, EUV 노출되지 않은 영역들, 및 상기 EUV 노출된 영역들 중 적어도 하나와 상기 EUV 노출되지 않은 영역들 중 적어도 하나 사이에 또는 EUV 노출된 영역 내에 배치된 계면 영역을 형성하기 위한 인스트럭션들을 더 포함하는, 레지스트 막 형성 장치.
  36. 제 35 항에 있어서,
    상기 머신-판독 가능 인스트럭션들을 포함하는 인스트럭션들은,
    상기 현상 모듈에서, 상기 레지스트 막 내에 패턴을 제공하기 위해, 상기 계면 영역과 상기 EUV 노출된 영역들 또는 상기 EUV 노출되지 않은 영역들 중 적어도 하나를 제거하도록 상기 금속 킬레이터 및 상기 용매의 존재 하에 상기 노출된 막의 현상을 유발하기 위한 인스트럭션들을 더 포함하는, 레지스트 막 형성 장치.
KR1020237004801A 2020-07-17 2021-07-16 금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들 KR20230050333A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705855P 2020-07-17 2020-07-17
US62/705,855 2020-07-17
PCT/US2021/042106 WO2022016126A1 (en) 2020-07-17 2021-07-16 Metal chelators for development of metal-containing photoresist

Publications (1)

Publication Number Publication Date
KR20230050333A true KR20230050333A (ko) 2023-04-14

Family

ID=79555050

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237004801A KR20230050333A (ko) 2020-07-17 2021-07-16 금속-함유 포토레지스트의 현상을 위한 금속 킬레이터들

Country Status (6)

Country Link
US (1) US20230266670A1 (ko)
JP (1) JP2023534962A (ko)
KR (1) KR20230050333A (ko)
CN (1) CN116134383A (ko)
TW (1) TW202219631A (ko)
WO (1) WO2022016126A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20240027912A1 (en) * 2022-07-25 2024-01-25 Applied Materials, Inc. Method to reduce line edge roughness for euv photoresist pattern
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381633B2 (en) * 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
JP6784670B2 (ja) * 2014-10-23 2020-11-11 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
WO2018061670A1 (ja) * 2016-09-29 2018-04-05 富士フイルム株式会社 処理液、および積層体の処理方法
JP7241486B2 (ja) * 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法

Also Published As

Publication number Publication date
CN116134383A (zh) 2023-05-16
WO2022016126A1 (en) 2022-01-20
US20230266670A1 (en) 2023-08-24
TW202219631A (zh) 2022-05-16
JP2023534962A (ja) 2023-08-15

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
KR20230051769A (ko) 탄탈륨을 함유하는 포토레지스트들
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
KR20230148424A (ko) 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
KR20220162765A (ko) 감응제 (sensitizer) 의 가스상 (gas phase) 주입에 의한 euv 드라이 레지스트 감응화 (sensitization) 를 위한 장치 및 프로세스
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理