CN116134383A - 用于含金属光致抗蚀剂的显影的金属螯合剂 - Google Patents

用于含金属光致抗蚀剂的显影的金属螯合剂 Download PDF

Info

Publication number
CN116134383A
CN116134383A CN202180060341.6A CN202180060341A CN116134383A CN 116134383 A CN116134383 A CN 116134383A CN 202180060341 A CN202180060341 A CN 202180060341A CN 116134383 A CN116134383 A CN 116134383A
Authority
CN
China
Prior art keywords
radiation
optionally substituted
film
metal
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180060341.6A
Other languages
English (en)
Inventor
埃里克·卡尔文·汉森
蒂莫西·威廉·威德曼
吴呈昊
凯文·利·顾
德赖斯·狄克特斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116134383A publication Critical patent/CN116134383A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本公开内容涉及使用金属螯合剂来处理暴露光致抗蚀剂膜。在特定实施方案中,金属螯合剂用于移除界面区域,从而提高图案化质量,该界面区域配置在暴露与未暴露区域之间或配置在暴露区域之内。

Description

用于含金属光致抗蚀剂的显影的金属螯合剂
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。本申请要求于2020年7月17日申请的美国临时专利申请No.62/705,855的利益,其全部公开内容都通过引用合并于此
技术领域
本公开内容涉及使用金属螯合剂来处理暴露的光致抗蚀剂膜。在特定实施方案中,金属螯合剂用于移除界面区域,从而提高图案化质量,其中该界面区域被配置在暴露与未暴露区域之间或配置在暴露区域之内。
背景技术
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
在半导体制造中,半导体处理中的薄膜图案化通常是重要的步骤。图案化涉及光刻。在光刻技术(例如,193nm光刻)中,图案是通过以下方式印刷的:从光子源发射光子至掩模上并且将图案印刷至光敏感的光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,其在显影后去除光致抗蚀剂的某些部分以形成图案。
先进技术节点(如国际半导体技术发展蓝图(International TechnologyRoadmap for Semiconductors)所定义的)包括22nm、16nm以及其他的节点。在16nm节点中,例如,在镶嵌结构中的典型的通孔或线的宽度通常不大于约30nm。先进半导体集成电路(IC)及其他装置上的特征的缩放正驱动光刻技术以改善分辨率。
极紫外光(EUV)光刻可通过移动至比用其他光刻方法所能实现的更小的成像源波长而扩展光刻技术。大约10-20nm、或11-14nm波长(例如13.5nm波长)的EUV光源可用于尖端光刻工具(也称为扫描机)。EUV辐射在许多固体与流体材料(包括石英与水蒸气)中被强吸收,因此在真空中进行操作。
发明内容
本公开内容涉及在以金属为基础的光致抗蚀剂(PR)的显影(例如,湿显影)的期间使用一种或更多金属螯合剂。在以有机金属为基础的PR的光刻暴露的期间,可能存在位于光刻暴露与未暴露区域之间的界面区域。如在此所论述的,该界面区域可被描绘成从最大程度暴露区域突然转变到完全未暴露区域的区域。因此,在该界面区域中,PR的组成可能包含各种部分反应产物,其是与存在于最大程度暴露区域中的产物及存在于完全未暴露区域中的产物不同。此种反应产物可能会在湿式显影工艺之后引起粗糙。此外,该界面区域可持续短距离,例如一或两纳米;且该界面区域内的一般组成大致为湿式显影的剂量阈值所需的成分。
在该界面区域,可存在弱接合金属物质。如在此所述的,本公开内容使用金属螯合剂以提供此种金属物质的移除,这可例如提供改善的结果图案化质量,特别涉及线宽度粗糙度(LWR)和/或线边缘粗糙度(LER)。
据此,在第一方面,本公开内容的特征在于一种方法,其包含:提供具有界面区域的辐射图案化膜(例如暴露膜);以及在金属螯合剂(例如,或者两种或更多不同金属螯合剂)存在的情况下,对该辐射图案化膜进行显影,其中该金属螯合剂用于接合至该界面区域的一个或更多辐射暴露金属中心。在一些实施方案中,该辐射暴露金属中心为弱接合金属物质(例如其特征在于一、二、或三个金属-氧键)。该界面区域可配置在辐射暴露区域与辐射未暴露区域之间或配置在辐射暴露区域之内(例如在高度暴露与较少未暴露区域之间)。在一些实施方案中,该界面区域包含配置在高度暴露与未暴露区域之间或在高度暴露与较少暴露区域之间的界面或转变区域。
在特定实施方案中,该暴露膜或该辐射图案化膜包含极紫外光(EUV)敏感性膜。在其他实施方案中,该暴露膜或该辐射图案化膜的特征在于对EUV辐射的暴露,从而具有EUV暴露区域、EUV未暴露区域、以及配置在该EUV暴露区域与该EUV未暴露区域之间的界面区域。在另外的其他实施方案中,该界面区域包含较少暴露于EUV辐射的区域(例如相较于高度暴露于EUV辐射的区域)。在特定实施方案中,该界面区域位于该EUV暴露区域之内。
在一些实施方案中,如在此所使用的,“辐射暴露区域”可以包括具有对辐射的可变暴露的区域。例如,该辐射暴露区域内的剂量曲线(作为距离的函数)可为非线性的,以使辐射暴露区域内的某些区域可暴露于较高的辐射剂量,而该辐射暴露区域内的其他区域可暴露于较低的辐射剂量。由于辐射剂量的程度可能会影响PR内的反应的程度,所以多种反应产物可能会存在于该辐射暴露区域内。因此,在一些实施方案中,该辐射暴露区域可被认为包含从最大程度暴露区域突然转变到较少暴露区域的界面区域。
在一些实施方案中,该显影还包含移除该界面区域。相较于不具有该金属螯合剂的显影,这种移除可提供改善的LWR和/或LER(例如其特征在于如通过功率频谱密度测量所确定的高频率噪声降低,以区别线粗糙度的不同来源,例如高、中、以及低频率来源)。例如,螯合剂的使用可通过消除对于功率频谱密度曲线的线形状的局部干扰而降低高至中频率粗糙度。在特定实施方案中,该显影包含为该金属螯合剂提供溶剂或溶剂混合物(例如在此所述的任何一者)。
在其他实施方案中,所述显影还包含使用相较于所述辐射未暴露区域优先移除所述辐射暴露区域的溶剂或溶剂混合物。在一些实施方案中,所述金属螯合剂能溶于所述溶剂或所述溶剂混合物中。在特定实施方案中,相较于存在于所述辐射未暴露区域中的金属中心,所述金属螯合剂优先接合至所述界面区域的所述辐射暴露金属中心。
在一些实施方案中,该金属螯合剂包含双羰基(例如,1,3-二酮)、二元醇、羧酸(例如,RA1-CO2H,其中,RA1为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羟芳基、任选经取代的羧烷基、任选经取代的羧芳基、或任选经取代的芳基)、二酸、三酸、羟基羧酸、异羟肟酸(例如,RA1-C(O)NRA2OH,其中,RA1与RA2中的每一者独立地为H、任选经取代的烷基、或任选经取代的芳基)、羟基内酯、羟基酮(例如,羟基吡啶酮、羟基嘧啶酮、或羟基吡喃酮(hydroxypyrone))、或其盐类。在其他实施方案中,该金属螯合剂包含甲酸、柠檬酸、乙酰丙酮、水杨酸、邻苯二酚、或抗坏血酸。
在其他实施方案中,该金属螯合剂包含具有化学式(I)、(II)、或(III)的结构的羟基酮:
Figure BDA0004113755000000041
或其盐类,其中:
X1与X2中的每一者独立地为-CR1=或-N=;
R1与R2各自独立地为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羧烷基、-C(O)NRN1RN2、或-C(O)ORO1,其中RN1、RN2、以及RO1中的每一者独立地为H、任选经取代的烷基、或任选经取代的烷基,其中任选地,RN1与RN2当接合在一起时形成任选经取代的杂环基;以及
R3独立地为H、任选经取代的烷基、或任选经取代的芳基。
在一些实施方案中,所述金属螯合剂包含配置在主链上的多个成分,其中所述多个成分选自于羟基、羧基、酰胺基、氨基、以及氧代基。非限制性的成分包含双羰基、二元醇、羧酸、二酸、三酸、羟基羧酸、异羟肟酸、羟基内酯、羟基酮、或其盐类的单价或多价形式中的一或更多者。
在一些实施方案中,该辐射暴露金属中心包含过渡金属。非限制性的金属中心包含例如锡(Sn)、碲(Te)、铋(Bi)、锑(Sb)、钽(Ta)、铯(Cs)、铟(In)、钼(Mo)、或铪(Hf)。
该暴露膜或该辐射图案化膜可由任何有用的金属前体(例如在此所述的任何一者)所形成。在特定实施方案中,该金属前体包含具有化学式(IV)的结构:
MaRb(IV),
其中:M是金属(例如在此所述的任何一者,如Sn、Te、Bi、Sb、Ta、Cs、In、Mo、或Hf);各R独立地为H、卤基、任选经取代的烷基、任选经取代的环烷基、任选经取代的环烯基、任选经取代的烯基、任选经取代的炔基、任选经取代的烷氧基、任选经取代的烷酰氧基、任选经取代的芳基、任选经取代的氨基、任选经取代的双(三烷基甲硅烷基)氨基、任选经取代的三烷基甲硅烷基、氧代基、阴离子配体、中性配体、或多齿配体;a≥1(例如a为1、2、或3);且b≥1(例如b为1、2、3、4、5、6、7、8、9、10、11、或12)。
在第二方面,本公开内容包含一种方法(例如使用抗蚀剂的方法)包含:在衬底的表面上沉积金属前体,以提供图案化辐射敏感性膜作为抗蚀剂膜;通过图案化辐射暴露来对该抗蚀剂膜进行图案化;以及在金属螯合剂与溶剂存在的情况下,对暴露膜进行显影。在一些实施方案中,该沉积包含相对反应物(例如含氧相对反应物,如在此所述的任何一者)的使用。
在其他实施方案中,该图案化提供暴露膜,该暴露膜具有一个或更多辐射暴露区域、一个或更多辐射未暴露区域、以及界面区域,该界面区域配置在这些辐射暴露区域中的至少一者与这些辐射未暴露区域中的至少一者之间。在一些实施方案中,该辐射暴露(例如该图案化辐射暴露)包含在真空环境下具有在约10nm到约20nm的范围内的波长的EUV暴露。
在另外的实施方案中,该显影移除界面区域以及辐射暴露区域或辐射未暴露区域,以在该光致抗蚀剂内提供图案。在一些实施方案中,相较于在不具有该金属螯合剂的情况下所显影的图案,该图案包含降低的LER和/或LWR。
在一些实施方案中,该金属螯合剂用于优先移除该界面区域(例如相较于辐射暴露区域和/或辐射未暴露区域)。在另外的实施方案中,该溶剂用于优先移除辐射暴露区域或辐射未暴露区域中的任一者(例如相较于该界面区域)。
在一些实施方案中,(例如在该显影之后)该方法还包含:从该膜移除金属螯合剂和/或金属-螯合络合物。
在第三方面,本公开内容包含一种装置(例如形成光致抗蚀剂膜的装置)包含:沉积模块;图案化模块;显影模块;和控制器,其包括一个或更多存储器设备、一个或更多处理器、以及系统控制软件,该系统控制软件用包括机器可读指令的指令编码。
在一些实施方案中,该沉积模块包括用于沉积图案化辐射敏感性膜(例如,EUV敏感性膜)的室。在其他实施方案中,该图案化模块包括光微影工具,该光刻工具具有低于300nm波长辐射的来源(例如,其中该来源可以是低于30nm波长辐射的来源)。在还有的其他实施方案中,该显影模块包括用于显影该光致抗蚀剂膜的室。
在特定实施方案中,所述控制器指令包含用于进行下列操作的机器可读指令:(例如在该沉积模块中)使金属前体沉积在半导体衬底的顶表面上,以形成该图案化辐射敏感性膜作为光致抗蚀剂膜。在其他实施方案中,控制器指令包含用于进行下列操作的机器可读指令:(例如在该图案化模块中)直接通过图案化辐射暴露以低于300nm分辨率(例如,或以低于30nm分辨率)对该光致抗蚀剂膜进行图案化,从而形成配置在至少一个辐射暴露区域与至少一个辐射未暴露区域之间的界面区域。在特定实施方案中,该界面区域为较少暴露于EUV辐射的区域(相较于更高度暴露于EUV辐射的另一区域);或者为配置在至少一个EUV暴露区域与至少一个EUV未暴露区域之间的转变区域;或者为配置在至少一个高度EUV暴露区域与至少一个较少EUV未暴露区域之间的转变区域。
在一些实施方案中,控制器指令包含用于进行下列操作的机器可读指令:(例如在该显影模块中)在金属螯合剂与溶剂存在的情况下,对该暴露膜进行显影。在特定实施方案中,该显影移除该界面区域以及辐射暴露区域或辐射未暴露区域中的至少一者,以在该光致抗蚀剂膜内提供图案。在其他实施方案中,机器可读指令包含用于移除界面区域的指令。在另外其他实施方案中,机器可读指令包含用于移除EUV暴露区域或EUV未暴露区域的指令。
在此处的任何实施方案中,该金属螯合剂包含双羰基(例如,1,3-二酮,如乙酰丙酮)、羟烷基、羟芳基、二元醇(例如乙二醇、邻苯二酚等等)、羧酸(例如,RA1-CO2H,其中,RA1为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羧烷基、或任选经取代的芳基;包含甲酸或柠檬酸)、二酸、三酸、羟基羧酸(例如酚酸,如水杨酸)、异羟肟酸(例如,RA1-C(O)NRA2OH,其中,RA1与RA2中的每一者独立地为H、任选经取代的烷基、或任选经取代的芳基)、羟基内酯(例如抗坏血酸)、羟基酮(例如羟基吡啶酮、羟基嘧啶酮、或羟基吡喃酮,例如具有化学式(I)、(II)、或(III)的结构的那些,如在此所述的)、或其盐类。在其他实施方案中,该金属螯合剂包含配置在主链上的多个成分,其中该多个成分选自于羟基、羧基、酰胺基、氨基、以及氧代基。非限制性的成分包含双羰基、二元醇、羧酸、二酸、三酸、羟基羧酸、异羟肟酸、羟基内酯、羟基酮、或其盐类的单价或多价形式中的一或更多者。
在此处的任何实施方案中,该暴露膜或该图案化辐射敏感性膜包含金属氧化物膜或有机金属氧化物膜或有机金属材料。
在此处的任何实施方案中,该暴露膜或该图案化辐射敏感性膜包含EUV敏感性膜。
在此处的任何实施方案中,该暴露膜或该图案化辐射敏感性膜包含具有高图案化辐射吸收截面的金属。在特定实施方案中,该金属包含高EUV吸收截面。在其他实施方案中,该金属层包含锡(Sn)、碲(Te)、铋(Bi)、锑(Sb)、钽(Ta)、铯(Cs)、铟(In)、钼(Mo)、或铪(Hf)、以及其组合。
在此处的任何实施方案中,如在此所述的,该金属前体包含具有化学式(IV)、(V)、(Va)、(VI)、(VII)、(VIII)、(IX)、(X)、以及(XI)的结构。
在此处的任何实施方案中,沉积包含提供或沉积蒸气形式的金属前体。在其他实施方案中,沉积包含提供蒸气形式的相对反应物。在特定实施方案中,沉积包含化学气相沉积(CVD)、原子层沉积(ALD)、或分子层沉积(MLD)、以及其等离子体增强形式。
在此处的任何实施方案中,该金属层的沉积还包含提供相对反应物。非限制性的相对反应物包括含氧相对反应物,包含O2、O3、水、过氧化物、过氧化氢、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇、甲酸、以及其他羟基成分的来源、以及其组合。额外的细节如下。
定义
如在此可互换使用的“酰氧基”或“烷酰氧基”指通过氧基连接至母分子基团的如在此所定义的酰基或烷酰基。在特定实施方案中,烷酰氧基为-O-C(O)-Ak,其中,Ak为如在此所定义的烷基。在一些实施方案中,未经取代的烷酰氧基为C2-7烷酰氧基基团。示例性的烷酰氧基基团包括乙酰氧基。
“烯基”是指具有一个或更多双键的任选地经取代的C2-24烷基。烯基可以是环状(例如,C3-24环烯基)或非环状。烯基也可以是经取代或未经取代的。例如,烯基可经一个或更多取代基取代,如本文对烷基所述的。
“亚烯基”是指如本文所定义的烯基的多价(例如,二价)形式。亚烯基可以是经取代或未经取代的。例如,亚烯基可经一个或更多取代基取代,如本文对烷基所述的。
“烷氧基”是指-OR,其中R为任选地经取代的烷基,如本文所述的。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基可以是经取代或未经取代的。例如,烷氧基可经一个或更多取代基取代,如本文对烷基所述的。示例性的未经取代的烷氧基包括C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷氧基。
“烷基”和前缀“烷”是指具有1至24个碳原子的支链或非支链饱和烃基,例如甲基(Me)、乙基(Et)、正丙基(n-Pr)、异丙基(i-Pr)、环丙基、正丁基(n-Bu)、异丁基(i-Bu)、仲丁基(s-Bu)、叔丁基(t-Bu)、环丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及类似物。烷基可以是环状(例如,C3-24环烷基)或非环状。烷基可以是支链或非支链。烷基也可以是经取代或未经取代的。例如,烷基可以包括卤代烷基,其中烷基经一个或更多卤素基取代,如本文所述的。在另一示例中,烷基可经一、两、三、或四个(在具有两个或更多碳的烷基的示例中)取代基取代,取代基独立选自由以下项所组成的群组:(1)C1-6烷氧基(例如,-O-Ak,其中Ak为任选地经取代的C1-6烷基);(2)氨基(例如,-NRN1RN2,其中RN1和RN2中的每一者独立为H或任选地经取代的烷基,或RN1与RN2连同各自连接的氮原子一起形成杂环基);(3)芳基;(4)芳烷氧基(例如,-O-Lk-Ar,其中Lk为任选地经取代的烷基的二价形式,且Ar为任选地经取代的芳基);(5)芳酰基(例如,-C(O)-Ar,其中Ar为任选地经取代的芳基);(6)氰基(例如,-CN);(7)羧醛基(例如,-C(O)H);(8)羧基(例如,-CO2H);(9)C3-8环烷基(例如,一价饱和或未饱和的非芳香族环状C3-8烃基);(10)卤素(例如,F、Cl、Br或I);(11)杂环基(例如,含有一、两、三或四个非碳杂原子(例如,氮、氧、磷、硫或卤素)的5、6或7元环,除非另有说明);(12)杂环氧基(例如,-O-Het,其中Het为杂环基,如本文所述);(13)杂环酰基(例如,-C(O)-Het,其中Het为杂环基,如本文所述的);(14)羟基(例如,-OH);(15)经N-保护氨基;(16)硝基(例如,-NO2);(17)氧代基(例如,=O);(18)-CO2RA,其中RA选自由(a)C1-6烷基、(b)C4-18芳基、以及(c)(C4-18芳基)C1-6烷基(例如,-Lk-Ar,其中Lk为任选地经取代的烷基的二价形式,且Ar为任选地经取代的芳基)所组成的群组;(19)-C(O)NRBRC,其中RB和RC中的每一者独立地选自由(a)氢、(b)C1-6烷基、(c)C4-18芳基、以及(d)(C4-18芳基)C1-6烷基(例如,-Lk-Ar,其中Lk为任选地经取代的烷基的二价形式,并且Ar为任选地经取代的芳基)所组成的群组;以及(20)-NRGRH,其中RG和RH中的每一者独立地选自由(a)氢、(b)N-保护基、(c)C1-6烷基、(d)C2-6烯基(例如,具有一个或更多双键的任选地经取代的烷基)、(e)C2-6炔基(例如,具有一个或更多三键的任选地经取代的烷基)、(f)C4-18芳基、(g)(C4-18芳基)C1-6烷基(例如,Lk-Ar,其中Lk为任选地经取代的烷基的二价形式,Ar为任选地经取代的芳基)、(h)C3-8环烷基、以及(i)(C3-8环烷基)C1-6烷基(例如,-Lk-Cy,其中Lk为任选地经取代的烷基的二价形式,且Cy为任选地经取代的环烷基,如本文所述的)所组成的群组,其中在一实施方案中,未有两个基团通过羰基键合至氮原子。烷基可以是经一个或更多取代基(例如,一个或更多卤素或烷氧基)取代的伯、仲或叔烷基。在一些实施方案中,未经取代的烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20或C1-24烷基。
“亚烷基”是指烷基的多价(例如,二价)形式,如本文所述。示例性亚烷基包括亚甲基、亚乙基、亚丙基、亚丁基等。在一些实施方案中,亚烷基为C1-3、C1-6、C1-12、C1-16、C1-18、C1-20、C1-24、C2-3、C2-6、C2-12、C2-16、C2-18、C2-20或C2-24亚烷基。亚烷基可以是支链或非支链。亚烷基也可以是经取代或未经取代的。例如,亚烷基可经一或更多取代基取代,如本文对烷基所述的。
“炔基”是指具有一个或更多三键的任选地经取代的C2-24烷基。炔基可以是环状或非环状的,例如乙炔基、1-丙炔基及类似物。炔基也可以是经取代或未经取代的。例如,炔基可经一个或更多取代基取代,如本文对烷基所述的。
“亚炔基”是指炔基(其为具有一个或更多三键的任选地经取代的C2-24烷基)的多价(例如,二价)形式。亚炔基可以是环状或非环状。亚炔基可以是经取代或未经取代的。例如,亚炔基可经一或更多取代基取代,如本文对烷基所述的。示例性的非限制性亚炔基包括-C≡C-或-C≡CCH2-。
“酰胺基”是指-C(O)NRN1RN2,其中RN1与RN2中的每一者独立地为H或任选经取代的烷基,或RN1与RN2和各自连接的氮原子一起形成如在本文所定义的杂环基。
“氨基”是指-NRN1RN2,其中RN1和RN2各自独立为H、任选地经取代的烷基或任选地经取代的芳基,或RN1与RN2连同各自连接的氮原子一起形成如本文所定义的杂环基。
“芳基”是指含有任何基于碳的芳香族基的基团,其包括,但不限于,苯基(phenyl)、苄基(benzyl)、蒽基(anthracenyl)、蒽基(anthryl)、苯并环丁烯基(benzocyclobutenyl)、苯并环辛烯基(benzocyclooctenyl)、联苯基(biphenylyl)、蔗基(chrysenyl)、二氢茚基(dihydroindenyl)、丙[二]烯合茀基(fluoranthenyl)、二环戊二烯并苯基(indacenyl)、茚基(indenyl)、萘基(naphthyl)、菲基(phenanthryl)、苯氧基苄基(phenoxybenzyl)、苉基(picenyl)、芘基(pyrenyl)、三联苯基(terphenyl)及类似物,包括稠合苯并-C4-8环烷基基团(例如,如本文所定义的),举例如二氢茚基(indanyl)、四氢化萘基(tetrahydronaphthyl)、茀基(fluorenyl)及类似物。术语芳基还包括杂芳基,其定义为含有芳香族基的基团,且芳香族基具有至少一杂原子并入芳香族基的环内。杂原子的示例包括,但不限于,氮、氧、硫及磷。类似地,术语“非杂芳基”(其还包含于术语“芳基”内)定义含有不含杂原子的芳香族基的基团。芳基可以是经取代或未经取代的。芳基可经一、两、三、四或五个取代基取代,例如本文中任何对烷基所述的。
“亚芳基”是指如在本文所述的芳基基团的多价(例如,二价)形式。示例性的亚芳基基团包括亚苯基(phenylene)、亚萘基(naphthylene)、亚联苯基(biphenylene)、亚三联苯基(triphenylene)、二苯醚(diphenyl ether)、亚二氢苊基(acenaphthenylene)、亚蒽基(anthrylene)、或亚菲基(phenanthrylene)。在一些实施方案中,亚芳基基团为C4-18、C4-14、C4-12、C4-10、C6-18、C6-14、C6-12、或C6-10亚芳基基团。亚芳基基团可为支链或非支链。亚芳基基团也可以是经取代或未经取代的。例如,亚芳基基团可经一个或更多取代基所取代,如本文对烷基或芳基所述的。
“羰基”是指-C(O)-基团,其也可表示为>C=O。
“羧基”是指-CO2H基团。
“羧烷基”是指经一个或更多如本文定义的羧基所取代的如本文定义的烷基基团。
“羧芳基”是指经一个或更多如本文定义的羧基所取代的如本文定义的芳基基团。
“羧酸”是指包含一个或更多羧基基团的任何成分或化合物。示例性的、非限制性的羧酸包括羧烷基或羧芳基。如本文所使用的,“二酸”是指具有二个羧基基团的羧酸,而“三酸”是指具有三个羧基基团的羧酸。
“环烯基”是指具有一个或更多双键的从三到八个碳原子(除非另有说明)的单价未饱和非芳香族或芳香族环状烃基。环烯基基团也可以是经取代或未经取代的。例如,环烯基基团可经一个或更多个基团(包括本文对烷基所述的)取代。
“环烷基”是指三至八个碳(除非另有说明)的单价饱和或不饱和非芳香族或芳香族环状烃基,且示例为环丙基、环丁基、环戊基、环戊二烯基、环己基、环庚基、双环[2.2.1.]庚基及类似物。环烷基也可以是经取代或未经取代的。例如,环烷基可经一个或更多基团(包括本文对烷基所述的那些)取代。
“二羰基”是指包含两个羰基团(如本文中所定义的)的任何基团或化合物。非限制性二羰基团包括1,2-二羰基(例如,RC1-C(O)-C(O)RC2,其中RC1和RC2各自独立地为H、任选经取代的烷基、卤素、任选经取代的烷氧基、羟基,或离去基团);1,3-二羰基或1,3-二酮(例如,RC1-C(O)-C(R1aR2a)-C(O)RC2,其中RC1和RC2各自独立地为任选经取代的烷基、卤素、任选经取代的烷氧基、羟基或离去基团,其中R1a和R2a各自独立地为H或提供给烷基(如本文中所定义的)的选择性取代基;和1,4-二羰基(例如,RC1-C(O)-C(R1aR2a)-C(R3aR4a)-C(O)RC2,其中RC1和RC2各自独立地为任选经取代的烷基、卤素、任选经取代的烷氧基、羟基或离去基团,其中R1a、R2a、R3a和R4a各自独立地为H或提供给烷基(如本文中所定义的)的选择性取代基)。
“二元醇”是指如本文所定义的包含二个羟基基团的羟烷基或羟芳基。
“卤素”是指F、Cl、Br或I。
“卤代烷基”是指经一个或更多卤素取代的本文所定义的烷基。
“杂亚烯基”是指如本文定义的含有一、二、三、或四个非碳杂原子(例如独立地选自于由氮、氧、磷、硫、硒、或卤素所组成的群组)的亚烯基基团的二价形式。杂亚烯基基团可以是经取代或未经取代的。例如,杂亚烯基基团可经一个或更多取代基所取代,如本文对烷基所述的。非限制性的杂亚烯基基团包括例如-NRN1-Ak-、-Ak-NRN1-、-O-Ak-、或-Ak-O-,其中,Ak为任选经取代的亚烯基,如本文所定义的。
“杂亚烷基”是指包含一、二、三、或四个非碳杂原子(例如独立地选自由氮、氧、磷、硫、硒、或卤素所构成的群组)的本文中所定义的亚烷基团的二价形式。杂亚烷基可以是经取代或未经取代的。例如,杂亚烷基可以是经一或多个取代基(如本文中针对烷基所述的)取代的。非限制性的杂亚烷基基团包括例如-NRN1-Ak-、-Ak-NRN1-、-O-Ak-、或-Ak-O-,其中,Ak为任选经取代的亚烷基,如本文所定义的。
“杂环基”是指含有一、两、三或四个非碳杂原子(例如,独立选自由氮、氧、磷、硫、硒或卤素所组成的群组)的3-、4-、5-、6-或7-元环(例如,5-、6-或7-元环),除非另有说明。3-元环具有零至一个双键,4-和5-元环具有零至两个双键,而6-和7-元环具有零至三个双键。术语“杂环基”还包括双环、三环和四环基,其中上述杂环状环中的任一者稠合至独立选自由芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一单环杂环状环所组成的群组中的一、两或三个环,例如吲哚基(indolyl)、喹啉基(quinolyl)、异喹啉基(isoquinolyl)、四氢喹啉基(tetrahydroquinolyl)、苯并呋喃基(benzofuryl)、苯并噻吩基(benzothienyl)及类似物。杂环包括吖啶基(acridinyl)、腺嘌呤基(adenyl)、咯嗪基(alloxazinyl)、氮杂金刚烷基(azaadamantanyl)、氮杂苯并咪唑基(azabenzimidazolyl)、氮杂双环壬基(azabicyclononyl)、氮杂环庚基(azacycloheptyl)、氮杂环辛基(azacyclooctyl)、氮杂环壬基(azacyclononyl)、氮杂次黄嘌呤基(azahypoxanthinyl)、氮杂吲唑基(azaindazolyl)、氮杂吲哚基(azaindolyl)、氮杂癸因基(azecinyl)、氮杂环庚烷基(azepanyl)、氮杂庚因基(azepinyl)、吖丁啶基(azetidinyl)、氮杂环丁二烯基(azetyl)、吖丙啶基(aziridinyl)、吖丙啶基(azirinyl)、氮杂环辛烷基(azocanyl)、吖辛因基(azocinyl)、氮杂环壬烷基(azonanyl)、苯并咪唑基(benzimidazolyl)、苯并异噻唑基(benzisothiazolyl)、苯并异噁唑基(benzisoxazolyl)、苯并二氮杂庚因基(benzodiazepinyl)、苯并二吖辛因基(benzodiazocinyl)、苯并二氢呋喃基(benzodihydrofuryl)、苯并二氧庚因基(benzodioxepinyl)、苯并二氧杂环己烯基(benzodioxinyl)、苯并二氧杂环己基(benzodioxanyl)、苯并二氧辛因基(benzodioxocinyl)、苯并二氧杂环戊烯基(benzodioxolyl)、苯并二噻庚因基(benzodithiepinyl)、苯并二硫杂环己二烯基(benzodithiinyl)、苯并二氧辛因基(benzodioxocinyl)、苯并呋喃基(benzofuranyl)、苯并吩嗪基(benzophenazinyl)、苯并吡喃酮基(benzopyranonyl)、苯并哌喃基(benzopyranyl)、苯并芘基(benzopyrenyl)、苯并吡喃酮基(benzopyronyl)、苯并喹啉基(benzoquinolinyl)、苯并喹嗪基(benzoquinolizinyl)、苯并硫二氮庚因基(benzothiadiazepinyl)、苯并噻二唑基(benzothiadiazolyl)、苯并硫氮庚因基(benzothiazepinyl)、苯并硫吖辛因基(benzothiazocinyl)、苯并噻唑基(benzothiazolyl)、苯并噻吩基(benzothienyl)、苯并苯硫基(benzothiophenyl)、苯并噻嗪酮基(benzothiazinonyl)、苯并噻嗪基(benzothiazinyl)、苯并噻喃基(benzothiopyranyl)、苯并噻喃酮基(benzothiopyronyl)、苯并三氮庚因基(benzotriazepinyl)、苯并三嗪酮基(benzotriazinonyl)、苯并三嗪基(benzotriazinyl)、苯并三唑基(benzotriazolyl)、苯并氧硫杂环己二烯基(benzoxathiinyl)、苯并三氧庚因基(benzotrioxepinyl)、苯并氧二氮庚因基(benzoxadiazepinyl)、苯并氧硫氮庚因基(benzoxathiazepinyl)、苯并氧硫庚因基(benzoxathiepinyl)、苯并氧硫辛因基(benzoxathiocinyl)、苯并氧氮庚因基(benzoxazepinyl)、苯并噁嗪基(benzoxazinyl)、苯并氧吖辛因基(benzoxazocinyl)、苯并噁唑啉酮基(benzoxazolinonyl)、苯并噁唑啉基(benzoxazolinyl)、苯并噁唑基(benzoxazolyl)、苄基磺内酰胺基(benzylsultamyl)、苄基亚磺内酰胺基(benzylsultimyl)、苄基亚磺内酰胺基(benzylsultimyl)、双吡嗪基(bipyrazinyl)、双吡啶基(bipyridinyl)、咔唑基(carbazolyl)(例如,4H-咔唑基)、咔啉基(carbolinyl)(例如,β-咔啉基)、色满酮基(chromanonyl)、苯并二氢吡喃基(chromanyl)、苯并吡喃基(chromenyl)、噌啉基(cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氢异喹啉基(decahydroisoquinolinyl)、十氢喹啉基(decahydroquinolinyl)、二氮杂二环辛烷基(diazabicyclooctyl)、二氮杂环丁二稀基(diazetyl)、二氮丙啶亚硫酰基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二吖丙啶基(diazirinyl)、二苯异喹啉基(dibenzisoquinolinyl)、二苯并吖啶基(dibenzoacridinyl)、二苯并咔唑基(dibenzocarbazolyl)、二苯并呋喃基(dibenzofuranyl)、二苯并吩嗪基(dibenzophenazinyl)、二苯并吡喃酮基(dibenzopyranonyl)、二苯并吡喃酮基(dibenzopyronyl)(呫吨酮基/xanthonyl)、二苯并喹噁啉(dibenzoquinoxalinyl)、二苯并硫氮庚因基(dibenzothiazepinyl)、二苯并硫庚因基(dibenzothiepinyl)、二苯并苯硫基(dibenzothiophenyl)、二苯并氧庚因基(dibenzoxepinyl)、二氢氮庚因基(dihydroazepinyl)、二氢氮杂环丁二烯基(dihydroazetyl)、二氢呋喃基(dihydrofuranyl)、二氢呋喃基(dihydrofuryl)、二氢异喹啉基(dihydroisoquinolinyl)、二氢吡喃基(dihydropyranyl)、二氢吡啶基(dihydropyridinyl)、二氢吡啶基(dihydroypyridyl)、二氢喹啉基(dihydroquinolinyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、二氧杂环己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二环氧乙烷基(dioxiranyl)、二环氧乙烯基(dioxenyl)、二氧杂环己烯基(dioxinyl)、二氧苯并呋喃基(dioxobenzofuranyl)、二氧杂环戊烯基(dioxolyl)、二氧四氢呋喃基(dioxotetrahydrofuranyl)、二氧硫代吗啉基(dioxothiomorpholinyl)、二噻环己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫杂环己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲酰基(furoyl)、呋喃基(furyl)、鸟嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黄嘌呤基(hypoxanthinyl)、乙内酰脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如,1H-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1H-吲哚基或3H-吲哚基)、靛红基(isatinyl)、靛红基(isatyl)、异苯并呋喃基(isobenzofuranyl)、异苯并二氢吡喃基(isochromanyl)、异苯并吡喃基(isochromenyl)、异吲唑基(isoindazoyl)、异吲哚啉基(isoindolinyl)、异吲哚基(isoindolyl)、异吡唑酰基(isopyrazolonyl)、异吡唑基(isopyrazolyl)、异噁唑啶基(isoxazolidiniyl)、异噁唑基(isoxazolyl)、异喹啉基(isoquinolinyl)、异喹啉基(isoquinolinyl)、异噻唑啶基(isothiazolidinyl)、异噻唑基(isothiazolyl)、吗啉基(morpholinyl)、萘并吲唑基(naphthindazolyl)、萘并吲哚基(naphthindolyl)、二氮杂萘基(naphthiridinyl)、萘并吡喃基(naphthopyranyl)、萘并噻唑基(naphthothiazolyl)、萘并硫酮基(naphthothioxolyl)、萘并三唑基(naphthotriazolyl)、萘并氧代吲哚基(naphthoxindolyl)、
Figure BDA0004113755000000151
啶基(naphthyridinyl)、八氢异喹啉基(octahydroisoquinolinyl)、氧杂双环庚烷基(oxabicycloheptyl)、氧杂脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧杂环庚烷基(oxepanyl)、氧杂环丁烷酮基(oxetanonyl)、氧杂环丁烷基(oxetanyl)、氧杂环丁二烯基(oxetyl)、氧杂环丁烷基(oxtenayl)、氧吲哚基(oxindolyl)、环氧乙烷基(oxiranyl)、氧代苯并异噻唑基(oxobenzoisothiazolyl)、氧代苯并吡喃基(oxochromenyl)、氧代异喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫杂环戊基(oxothiolanyl)、啡啶基(phenanthridinyl)、啡啉基(phenanthrolinyl)、啡嗪基(phenazinyl)、啡噻嗪基(phenothiazinyl)、啡噻吩基(phenothienyl)(苯并硫代呋喃基/benzothiofuranyl)、啡噁噻基(phenoxathiinyl)、啡噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯并吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、喋啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑并嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、哒嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶并吡嗪基(pyridopyrazinyl)、吡啶并嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯烷基(pyrrolidinyl)、吡咯烷酮基(pyrrolidonyl)(例如,2-吡咯烷酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2H-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4H-喹嗪基)、喹噁啉(quinoxalinyl)、喹啉环基(quinuclidinyl)、硒杂吖嗪基(selenazinyl)、硒杂唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀酰亚胺基(succinimidyl)、环丁砜基(sulfolanyl)、四氢呋喃基(tetrahydrofuranyl)、四氢呋喃基(tetrahydrofuryl)、四氢异喹啉基(tetrahydroisoquinolinyl)、四氢异喹啉基(tetrahydroisoquinolyl)、四氢吡啶基(tetrahydropyridinyl)、四氢吡啶基(tetrahydropyridyl)、哌啶基(piperidyl)、四氢哌喃基(tetrahydropyranyl)、四氢吡喃酮基(tetrahydropyronyl)、四氢喹啉基(tetrahydroquinolinyl)、四氢喹啉基(tetrahydroquinolyl)、四氢噻吩基(tetrahydrothienyl)、四氢苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6H-1,2,5-噻二嗪基或2H,6H-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻环己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫杂环庚烷基(thiepanyl)、硫杂庚因基(thiepinyl)、氧杂环丁烷基(thietanyl)、硫杂杂环丁烯基(thietyl)、硫杂环丙基(thiiranyl)、硫杂环辛烷基(thiocanyl)、硫代色满酮基(thiochromanonyl)、硫代苯并二氢吡喃基(thiochromanyl)、硫代苯并吡喃基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、噻茚酚基(thioindoxyl)、硫代吗啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代脲唑基(thiourazolyl)、硫氧杂环己基(thioxanyl)、硫氧杂环戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻环己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、脲丁啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、呫吨硫酮基(xanthionyl)及类似物,以及其修饰形式(例如,包括一个或更多氧代基和/或氨基)及其盐类。杂环基可以是经取代或未经取代的。例如,杂环基可经一个或更多取代基取代,如本文对烷基所述的。
“异羟肟酸”是指如本文定义的具有取代羟基的羟胺基的羧酸。非限制性的异羟肟酸包括RA1-C(O)NRA2OH,其中,RA1与RA2中的每一者独立地为H、任选经取代的烷基、或任选经取代的芳基。
“羟基”是指-OH。
“羟烷基”是指如本文定义的经一到三个羟基基团所取代的烷基基团,条件是不超过一个的羟基基团可连接至该烷基基团的单个碳原子,且示例为羟甲基、二羟丙基和类似物。
“羟芳基”是指如本文定义的经一到三个羟基基团所取代的芳基,条件是不超过一个的羟基基团可连接至该芳基基团的单个碳原子,且示例为羟苯基、二羟苯基和类似物。
“羟基羧酸”是指具有至少一个羟基基团以及至少一个羧基基团的任何成分或化合物。
“羟基酮”是指具有羰基基团以及羟基基团以作为取代基的任何成分或化合物。在特定情况下,该羰基基团可形成酮或酰胺。非限制性的羟基酮包括RA1-C(O)-RA2,其中,RA1与RA2中的每一者为任选经取代的亚烷基、任选经取代的亚烯基、任选经取代的杂亚烷基、或任选经取代的杂亚烯基,RA1与RA2中的至少一者包含羟基取代基,且其中,RA1与RA2接合在一起形成环状基团(例如杂环基,如本文所定义的)。
“羟基内酯”是指具有一个或更多羟基基团的环酯。非限制性的羟基内酯包括RA1-C(O)-ORA2,其中,RA1与RA2中的每一者为任选经取代的亚烷基或任选经取代的亚烯基,RA1与RA2中的至少一者包含羟基取代基,且其中,RA1与RA2接合在一起形成环状基团(例如杂环基,如本文所定义的)。
“氧代基(oxo)”是指=O基团。
如本文所使用,术语“顶部”、“底部”、“上部”、“下部”、“上方”和“下方”用于提供结构之间的相对关系。这些术语的使用并不表示或要求特定结构必须放置在装置中的特定位置。
本发明的其他特征及优点将根据以下描述和权利要求而变得显而易见。
附图说明
图1呈现出用于对膜进行图案化并且显影的非限制性的方法的示意图。
图2A-2B呈现出存在螯合剂的情况下用于对膜进行图案化并且显影的非限制性的方法的示意图。
图3A-3B呈现出在沉积期间使用金属前体并且在显影期间使用螯合剂的非限制性的方法的示意说明与图。提供了(A)正型抗蚀剂(路径i)或负型抗蚀剂(路径ii)的第一方法300,以及(B)示例性方法350的框图。
图4呈现出多站处理工具500的实施方案的示意图。
图5呈现出感应耦合式等离子体装置600的实施方案的示意图。
图6呈现出半导体处理集群工具架构700的实施方案的示意图。
具体实施方式
本公开内容大致上与半导体处理的领域相关。尤其是,本公开内容针对在显影期间的一种或更多金属螯合剂的使用。例如,金属螯合剂可强烈地接合金属离子并且选择性地移除可能存在于暴露与未暴露PR区域间的粗糙界面处的弱接合金属。因此,通过操纵螯合剂浓度和/或螯合剂的化学特性,此种金属螯合剂提供用于操纵LER/LWR的调整钮。不希望受到机制的限制,金属螯合剂根据由化学键的形成所引起的热力学效益而较强烈或较不强烈地接合金属离子,并且同时以根据螯合剂分子的位阻量及物理尺寸的速率来接合金属。因此,螯合剂的浓度与化学特性的谨慎选择可提供用于直接操纵在暴露与未暴露区域的界面处的粗糙度的调整钮。
在不具有金属螯合剂的情况下,针对湿式显影的溶剂优化使LER与暴露/未暴露区域间的溶解性差异的优化保持平衡。然而,在湿式显影剂中使用可溶性金属螯合剂可直接解决在该界面处的粗糙度,并且同时考虑到仅针对暴露与未暴露区域的对比度所优化的溶剂。溶剂选择与因金属螯合剂而于界面处的改善之间的正交性允许暴露/未暴露区域间的显影剂对比度的优化,并且同时亦因为暴露/未暴露区域间的界面处的粗糙度(这在不具有金属螯合剂的情况下可能难以控制)而优化LER。
将详细参照本公开内容的具体实施方案。具体实施方案的示例描绘于附图中。虽然本公开内容将接合这些具体实施方案进行描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反,其应包含落在本公开内容的精神和范围内的置换、变更和等同方案。在以下说明中,提出了许多特定细节以提供对本公开内容的彻底理解。本公开内容可以在缺少这些特定细节中的一些或全部的实例中实施。在其它实例中,公知的工艺操作并未详加描述,以免不必要地使本公开内容难以理解。
EUV光刻使用EUV抗蚀剂,EUV抗蚀剂被图案化而形成掩模以用来蚀刻下伏层。EUV抗蚀剂可以是基于聚合物的化学放大抗蚀剂(CAR),CAR是通过基于液体的旋涂技术所产生。CAR的一替代方案为直接可光图案化的(photopatternable)含金属氧化物膜,该膜例如可从Inpria Corp.(Corvallis,OR)购得的、并且描述于例如美国专利公开No.US 2017/0102612、US 2016/0216606和US 2016/0116839,其并入本文中作为参考文件,至少因为其公开了可光图案化的含金属氧化物膜。这样的膜可通过旋涂技术或干式气相沉积来生产。含金属氧化物的膜可在真空环境中通过EUV暴露直接进行图案化(即,不使用单独的光致抗蚀剂),提供低于30nm(sub-30nm)的图案化分辨率,例如,如2018年6月12日授权且发明名称为EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS的美国专利No.9,996,004、和/或2019年5月9日申请且发明名称为METHODS FOR MAKING EUVPATTERNABLE HARD MASKS的国际申请No.PCT/US19/31618(公开为国际公开No.WO2019/217749),这些公开内容至少涉及直接可光图案化的金属氧化物膜的组成、沉积及图案化以形成EUV抗蚀剂掩模,且并入本文中作为参考。通常,图案化涉及利用EUV辐射进行EUV抗蚀剂的暴露以在光致抗蚀剂中形成光图案,然后通过显影以根据光图案而去除光致抗蚀剂的一部分以形成掩模。
直接可光图案化的EUV或DUV抗蚀剂可以由混合于有机成分内的金属和/或金属氧化物构成或包含这些成分。金属/金属氧化物因其可增强EUV或DUV光子吸收并且产生二次电子和/或相对于底层膜堆和设备层呈现较大蚀刻选择性而非常有前景。
一般而言,通过控制光致抗蚀剂的化学品和/或显影剂的溶解度或反应性,可将光致抗蚀剂使用作为正型抗蚀剂或负型抗蚀剂。具有可作为负型抗蚀剂或正型抗蚀剂的EUV或DUV光致抗蚀剂将是有利的,且本公开内容包含作为负或正型抗蚀剂的膜的使用与形成。
使用金属螯合剂的方法
如本文所述,本公开内容总体上包含使用金属螯合剂的任何有用的方法。如本文所述,此种方法可包含任何有用的光刻工艺、沉积工艺、辐射暴露工艺、显影工艺、以及涂敷后工艺。
虽然以下内容可能将技术描述为与EUV工艺相关,但这类技术也可适用于其他下一代光刻技术。可采用各种辐射源,包括EUV(通常约13.5nm)、DUV(深紫外线,通常在248nm或193nm范围内,使用准分子激光源)、X射线(包括在X射线范围的较低能量范围处的EUV)、以及电子束(包括宽的能量范围)。
在对EUV辐射的光刻暴露之后,EUV暴露与EUV未暴露区域都存在于PR膜之内。如在图1中所观看到的,EUV敏感性膜112可配置在衬底111的顶表面上。可将膜112暴露101于EUV辐射以提供EUV暴露区域112b以及EUV未暴露区域112c。在PR膜中,辐射暴露可用于产生活化反应中心,其之后可促进使膜去稳定化(destabilize)或稳定化(stabilize)的反应,以分别提供正或负型抗蚀剂。例如,对于负型含金属抗蚀剂膜而言,暴露区域可包含EUV活化反应中心,其促进膜的交联与稳定化。在这种抗蚀剂显影后,暴露区域被保留,而未暴露区域则通过膜的较不稳定区域的溶解来移除。
在此种暴露与未暴露区域之间,存在有界面区域,其中,PR的区域从最大程度暴露区域突然转变到完全未暴露区域。可观看到,暴露膜的特征可在于配置在暴露/未暴露区域之间的界面区域112a。在这种界面区域中,膜可能已暴露于EUV,因此提供EUV暴露反应中心。然而,反应可不进行至完成,从而提供既非完全反应也非未反应的区域。
如果显影化学过程依赖于这种EUV中介反应的完成,则这种界面区域的显影仍具有挑战性。如在图1中所观看到的,如果以这种显影化学过程来使暴露膜显影102,则所提供的图案不但包含暴露区域112b而且也包含界面区域112a。界面区域的存在可能会降低膜内的图案的保真度(fidelity),并且导致增加的粗糙度(例如增加的LER和/或LWR)。
通过使用可将未反应或部分反应PR区域作为目标的金属螯合剂,可移除界面区域。如在图2A中所观看到的,非限制性的方法可包含将配置在衬底上的膜212暴露201于EUV辐射,从而提供界面区域212a、EUV暴露区域212b、以及EUV未暴露区域212c。在EUV暴露区域212b内,使PR进行交联而形成金属-氧(M-O)键与金属-氧-金属(M-O-M)键,并且从膜释放出配体。如本文所述,此种EUV暴露区域也可包含未反应PR。在EUV未暴露区域212c之内,PR保留金属前体的最初化学结构,于其中通常保留EUV可裂解的不稳定配体。非限制性的EUV可裂解的不稳定配体包括在此所述的任何一者,例如化学式(IV)或(V)中的R。
在界面区域212a之内,各种化学物质被观察到,其中,金属中心在某程度上与M-O-M相进行交联,在某程度上保留了不稳定配体,并且在某程度上形成M-OH中间体。在此区域之内,可通过金属螯合剂来移除弱接合金属物质。在某些情况下,螯合剂经选择而相较于接合250至EUV暴露交联金属物质,可优先接合255至EUV暴露弱接合金属物质。在以此种螯合剂使暴露膜显影202之后,显影膜可包含图案,该图案包含暴露区域212b(图2B)。
在特定情况下,金属螯合剂为电中性螯合剂,其接合金属离子(例如具有不同接合强度),并且同时使所得到的金属-螯合络合物在显影剂溶剂(例如有机溶剂)中保持可溶并且稳定。就其本身而言,金属螯合剂可以是有机可溶金属螯合剂,其用于湿式显影剂,以找出界面区域中的弱接合金属离子并且接合此种金属离子而形成金属-螯合络合物。如果金属-螯合络合物在显影剂溶剂内维持可溶,则可在剩余的湿式显影剂被移除时,从晶片移除这种络合物。非限制性的螯合剂包括乙酰丙酮、甲酸、以及羟基吡啶酮的衍生物、以及在此所述的其他。
螯合剂的选择可取决于任何有用的化学与物理特性。在一情况下,螯合剂针对其金属接合强度来选择,这可用于调整暴露与未暴露区域间的界面将会如何受到螯合剂的存在的激烈影响。用于金属接合强度的非限制性的确定可包括介于约5到约50之间的稳定常数(例如log K或logβ)。在另一情况下,可通过附加聚合物主链来操纵的螯合剂的物理尺寸(例如介于约0.1nm到约10nm之间)可用于调整何种尺寸(例如10nm关键尺寸或更大者)或几何(例如平坦)特征通过金属螯合剂的使用而受到影响。
在湿式显影期间存在金属螯合剂可提供用于改善显影PR图案的LER的额外调整钮。使用不同的有机可溶金属螯合剂,特定有机金属工艺可具有通过选择适当的螯合剂所优化的LER,该螯合剂具有足以移除在PR中位于暴露与未暴露区域间的界面处的不同类型粗糙部位的接合强度。可通过各化合物的化学类型以及溶剂或溶剂混合物内的螯合剂的特定浓度来优化这种螯合剂-溶剂组合。
除了促进金属物质的移除以外,此种螯合剂的使用可促进由PR膜所产生或在PR膜内的挥发性化合物的移除。非限制性的挥发性化合物包括可存在于膜中的二氧化碳、一氧化碳、烯、芳香烃、以及多烷基锡物质。非限制性的物质包括SnRxLy,其中,每一个R独立地为任选经取代的烷基,每一个L独立地为二烷基氨基(例如-NMe2)、羟基、桥接氧化物、或另一配体,4≥x≥1,且3≥y≥0。
以此种方式,可能以其他方式脱气并且污染设施及装备的挥发性化合物可被溶解为溶剂内的络合物。此外,螯合剂也可用于移除难溶性化学副产物,该难溶性化学副产物可在EUV暴露期间产生并且配置在光刻图案化PR的表面上。
可以任何有用的方式来表征界面区域移除以及螯合络合物的存在。例如,可通过测量显影剂溶液内的金属螯合络合物的存在来检测通过螯合剂的金属中心的溶解。非限制性的检测方法包括核磁共振(NMR)光谱法、液相层析-质谱法(LC-MS)、高效液相层析法(HPLC)等等的使用。
图3A提供了示例性的方法300,其包含在衬底311的顶表面上沉积301膜312。该方法还可以包括处理已沉积的EUV敏感性膜的步骤。虽然这类步骤不是产生膜所必需的,但对于使用膜作为PR可能是有用的。因此,方法300还包括,通过EUV暴露302使膜进行图案化,以提供具有EUV暴露区域312b和EUV未暴露区域312c以及介于两者之间的界面区域的已暴露膜。图案化可包括使用具有EUV可穿透区域和EUV不可穿透区域的掩模314,其中EUV光束315传送通过EUV可穿透区域并且进入膜312中。EUV暴露可包括,例如,具有在真空环境中约10nm至约20nm范围内(例如,在真空环境中约13.5nm)的波长的暴露。
一旦图案被提供,方法300可包含在一种或更多金属螯合剂存在的情况下使该膜显影303,从而(i)移除EUV暴露区域以在正型抗蚀剂膜内提供图案或(ii)移除EUV未暴露区域以在负型抗蚀剂内提供图案。如本文所述,界面区域可存在于EUV暴露/未暴露区域之间。因此,在一实施方案中,图3A中的路径(i)导致选择性地移除EUV暴露区域312b以及界面区域,此可通过使用接合至在EUV暴露后所形成的弱接合金属物质的金属螯合剂所促进。替代地,图3A中的路径(ii)导致维持EUV暴露区域312b以及界面区域,这可通过接合存在于EUV未暴露区域中的较弱接合金属物质(相较于存在于EUV暴露区域中的金属物质(例如交联金属或交联金属-有机材料))的金属螯合剂所促进。
显影步骤可包括在液相中的水性或有机溶剂的使用(例如作为金属螯合剂)。在此说明额外的显影工艺条件。
可选的步骤可被执行,以进一步调节、修改、或处理EUV敏感性膜、衬底、光致抗蚀剂层、覆盖层、和/或在本文中的任何方法。图3B提供了示例性方法350的流程图,该方法具有包含可选的操作的各种操作。可观看到,在操作352中,使用金属前体来沉积膜。
在可选的操作354中,可清洁衬底的背侧表面或斜边(bevel),和/或可移除在先前步骤中所沉积的光致抗蚀剂的边缘珠粒。这种清洁或移除步骤可有利于移除在沉积光致抗蚀剂层之后所可能存在的颗粒。移除步骤可包含以湿金属氧化物(MeOx)边缘珠粒移除(EBR)步骤来处理晶片。
在另一情况下,该方法可包含可选的操作356,其用于执行沉积光致抗蚀剂层的涂敷后烘烤(PAB),从而从该层移除残留的水分以形成膜;或者以任何有用的方式来对该光致抗蚀剂层进行预处理。可选的PAB可在膜沉积之后且在EUV暴露之前进行;PAB可涉及热处理、化学暴露和/或水气的组合,以增加该膜的EUV敏感度,从而减少在膜中显影图案的EUV剂量。在特定实施方案中,PAB步骤是在大于约100℃的温度下、或在从约100℃至约200℃、或从约100℃至约250℃的温度下进行。在某些实例中,在该方法中不执行PAB。在其他实例中,PAB步骤是在低于约180℃、低于约200℃、或低于约250℃的温度下进行。
在操作358中,将该膜暴露于EUV辐射,以形成图案。通常,EUV暴露会造成膜的化学组成的变化,从而产生蚀刻可选的对比,其可用于去除一部分的膜。这样的对比可提供正型抗蚀剂或负型抗蚀剂,如本文中所述的。
操作360为暴露膜的可选暴露后烘烤(PEB),从而进一步移除残留的水分、促进膜内的化学缩合、或增加暴露膜的蚀刻选择性的对比度;或者以任何有用的方式来对膜进行后处理。PEB的温度的非限制性示例包括,例如,从约90℃至600℃、100℃至400℃、125℃至300℃、170℃至250℃或更高、190℃至240℃,以及本文中所述的其他温度。在其他实例中,PEB步骤在低于约180℃、低于约200℃、或低于约250℃的温度下进行。
在一实例中,已暴露膜可进行热处理(例如,选择性地在各种化学物质的存在下),以促进在暴露于剥离剂(例如,基于卤化物的蚀刻剂,例如HCl、HBr、H2、Cl2、Br2、BCl3或其组合;碱性显影水溶液;或有机显影溶液)或正型显影剂之后的光致抗蚀剂的EUV暴露部分内的反应性。在另一实例中,已暴露膜可进行热处理,以进一步使光致抗蚀剂的EUV暴露部分内的配体进行交联,从而提供可在暴露于剥离剂(例如,负型显影剂)之后被选择性去除的EUV未暴露部分。
接着,在操作362中,在一种或更多金属螯合剂存在的情况下使PR图案显影。在显影的各种实施方案中,暴露区域被移除(正型)或未暴露区域被移除(负型)。在各种实施方案中,这些步骤可以是包含此种金属螯合剂的湿式工艺。
在另一情况下,该方法可包括(例如,在显影之后)使图案化膜硬化,从而提供位于衬底的顶表面上的光致抗蚀剂掩模。硬化步骤可包括任何有用的工艺,以使EUV未暴露区域或暴露区域进一步交联或反应,例如下列步骤:暴露于等离子体(例如,O2、Ar、He或CO2等离子体)、暴露于紫外线辐射、退火(例如,在约180℃至约240℃的温度)、热烘烤或其组合,其可用于显影后烘烤(PDB)步骤。在其他实例中,PDB步骤是在低于约180℃、低于约200℃、或低于约250℃的温度下进行。额外的涂敷后工艺被描述在本文中,并且可作为本文中所述的任何方法的选择性步骤而实施。
在沉积、图案化、剥离、和/或显影步骤期间可使用任何有用的类型的化学品。这种步骤可基于使用气相的化学品的干式工艺或基于使用湿相的化学品的湿式工艺。在一示例中,例如可从Inpria Corp获得的旋涂EUV光致抗蚀剂(湿式工艺)可与如本文所述的其他湿或干式工艺相接合。在各种实施方案中,晶片清洁可以是如本文所述的湿式工艺。在另外的其他实施方案中,湿式显影工艺可与旋涂EUV光致抗蚀剂或与干沉积EUV光致抗蚀剂接合在一起使用。
金属螯合剂
金属螯合剂可包含能够接合至金属中心(例如过渡金属中心)的任何配体。非限制性的配体包括具有羟基、羧基、酰胺基、氨基、和/或氧代基(oxo)成分的配体。金属螯合剂可以是具有这种配体的任何有用的化合物,其中,化合物可包括聚合物、双羰基(例如,二酮)、酮、羟基酮(例如,羟基吡啶酮、羟基嘧啶酮、或羟基吡喃酮)、醇(例如,二元醇、三元醇等等)、酸(例如,羧酸、二酸、三酸、羟基羧酸等等)、羟基酸(例如,羟基羧酸)、酰胺、羟基酰胺、异羟肟酸、内酯、羟基内酯(例如,抗坏血酸)、以及其经取代的形式。另外的其他非限制性的金属螯合剂(例如干金属螯合剂的湿形式)可以是在2020年12月8日申请的、名称为PHOTORESIST DEVELOPMENT WITH ORGANIC VAPOR美国临时专利申请No.63/199,129中所描述的任何一者,其通过引用合并于此。
在其他实施方案中,金属螯合剂为双羰基或包含双羰基。非限制性的双羰基包括1,3-二酮,例如RA1-C(O)-C(R1aR2a)-C(O)RA2,其中,RA1与RA2中的每一者独立地为任选经取代的烷基、任选经取代的卤烷基、卤基、任选经取代的烷氧基、羟基、任选经取代的芳基、或离去基团,或可选地,其中,RA1与RA2接合在一起形成环状基团(例如,任选经取代的环烷基或任选经取代的杂环基);且其中,如本文所定义的,R1a与R2a中的每一者独立地为H或可选的取代基,其被提供以取代烷基。特定的双羰基包括乙酰丙酮。
在一些实施方案中,金属螯合剂为醇或包含醇。一非限制性的醇为RA1-OH,其中,RA1为任选经取代的烷基、任选经取代的羟烷基、任选经取代的羟芳基、任选经取代的羧烷基、任选经取代的羧芳基、或任选经取代的芳基。非限制性的醇包括苯二酚以及乙二醇。
在其他实施方案中,金属螯合剂为羧酸或包含羧酸。一非限制性的羧酸为RA1-CO2H,其中,RA1为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羟芳基、任选经取代的羧烷基、任选经取代的羧芳基、或任选经取代的芳基。另一非限制性的羧酸为HO2C-RAk-CO2H,其中,RAk为键、任选经取代的亚烷基、或任选经取代的亚芳基(例如,可选地以卤素、羟基、羧基、烷氧基、和/或卤烷基所取代)。在特定实施方案中,羧酸为甲酸、柠檬酸、或水杨酸。
在另外的其他实施方案中,金属螯合剂为异羟肟酸或包含异羟肟酸,例如RA1-C(O)NRA2OH,其中,RA1与RA2中的每一者独立地为H、任选经取代的烷基、或任选经取代的芳基,或可选地,其中,RA1与RA2接合在一起形成任选经取代的杂环基。
金属螯合剂可以是羟基酮或包含羟基酮。在特定实施方案中,羟基酮为RA1-C(O)-RA2,其中,RA1与RA2的每一者为任选经取代的亚烷基、任选经取代的亚烯基、任选经取代的杂亚烷基、或任选经取代的杂亚烯基;RA1与RA2中的至少一者包含羟基取代基;且其中,RA1与RA2接合在一起形成环状基团(例如,任选经取代的环烷基或任选经取代的杂环基)。
在其他实施方案中,羟基酮可具有化学式(I)、(II)、或(III)的结构:
Figure BDA0004113755000000271
或其盐类,其中:
X1与X2中的每一者独立地为-CR1=或-N=;
R1与R2各自独立地为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羧烷基、-C(O)NRN1RN2、或-C(O)ORO1,其中RN1、RN2、以及RO1中的每一者独立地为H、任选经取代的烷基、或任选经取代的烷基,其中任选地,RN1与RN2当接合在一起时形成任选经取代的杂环基;以及
R3独立地为H、任选经取代的烷基、或任选经取代的芳基。
非限制性的羟基酮包括羟基吡啶酮、羟基嘧啶酮、或羟基吡喃酮,包括其经取代的形式。此外,羟基酮包括1-羟基吡啶-2-酮(1,2-HOPO,包括经6-R1取代的1,2-HOPO)、3-羟基吡啶-4-酮(3,4-HOPO,例如经N-R3取代的3,4-HOPO、以及经N-R3、2-R2、6-R3取代的3,4-HOPO)、3-羟基吡啶-2-酮(3,2-HOPO,例如经N-R3取代的3,2-HOPO、以及经4-R3、6-R1取代的3,2-HOPO)、1-羟基吡嗪-2-酮(1,2,4-HPM,包括经6-R1取代的1,2,4-HPM)、1-羟基嘧啶-2-酮(1,2,3-HPM,包括经6-R1取代的1,2,3-HPM)、以及3-羟基吡喃-4-酮(3,4-HPy,包括经2-R1取代的3,4-HPy、经5-R2取代的3,4-HPy、以及经2-R1、5-R2取代的3,4-HPy),其中,非限制性的取代基如关于化学式(I)、(II)、或(III)中所述。
在一些实施方案中,金属螯合剂包含配置在主链上的多个成分,其中该多个成分选自于羟基、羧基、酰胺基、氨基、以及氧代基。非限制性的成分包括双羰基、二元醇、羧酸、二酸、三酸、羟基羧酸、异羟肟酸、羟基内酯、羟基酮、或其盐类的单价或多价形式其中一或更多者。
主链可包含任何有用的结构,其包含任选经取代的烷基、任选经取代的杂烷基、任选经取代的芳基、以及其组合。在其他实施方案中,主链包含聚合物,例如聚(酯),如聚对苯二甲酸二乙酯、聚羟基丁酸酯、聚羟基戊酸酯、聚(乙烯酯)、聚(醋酸乙烯酯)、或其共聚物;聚(羟基链烷酸酯);聚(乳酸);聚(己内酯);多醣或其衍生物,例如直链淀粉、纤维素、或羧甲基纤维素;聚(丁二酸烷基酯),例如聚(丁二酸丙二醇酯)或聚(丁二酸丁二醇酯);聚(天冬氨酸酯)或聚(天冬氨酸);或脂肪-芳香树脂,例如具有至少一个脂肪段以及至少一个芳香段的共聚物。
金属前体
本公开内容涉及金属前体与可选的相对反应物(counter-reactant)的使用,可将其沉积以形成图案化辐射敏感性膜(例如EUV敏感性膜)。如进一步在此所述的,这种膜接着可作为EUV光致抗蚀剂。在特定实施方案中,该膜可包含一个或更多配体(例如不稳定配体),该配体可通过辐射(例如EUV或DUV辐射)加以移除、裂解、或交联。
金属前体可包括提供对于辐射敏感的可图案化膜(或图案化辐射敏感性膜或可光图案化的膜)的任何前体(例如,本文中所述的)。这类辐射可包括通过照射通过经图案化的掩模所提供的EUV辐射、DUV辐射或UV辐射,由此成为图案化的辐射。膜本身可通过暴露于这类辐射而改变,以使膜为辐射敏感的。在特定实施方案中,金属前体为有机金属化合物,其包含至少一金属中心。
金属前体可以具有任何有用数量与类型的配体。在一些实施方案中,配体的特征可在于其在相对反应物存在的情况下或在图案化辐射存在的情况下进行反应的能力。例如,金属前体可包含与相对反应物进行反应的配体(例如二烷基氨基基团或烷氧基基团),该相对反应物可在金属中心之间引入键(例如-O-键)。在另一情况下,金属前体可包含在图案化辐射存在的情况下进行分离的配体。这种配体(例如EUV可裂解的不稳定配体)可包含具有β-氢的支链或直链烷基基团、以及在此所述的其他(例如化学式(IV)或(V)中的R)。
金属前体可以是任何有用的含金属前体,例如有机金属剂、金属卤化物、或覆盖剂(capping agent)(例如,如本文所述的)。在一非限制性的情况下,金属前体包含具有化学式(IV)的结构:
MaRb(IV),
其中:
M为具有高EUV吸收截面的金属或原子;
每个R独立地为H、卤基、任选经取代的烷基、任选经取代的环烷基、任选经取代的环烯基、任选经取代的烯基、任选经取代的炔基、任选经取代的烷氧基、任选经取代的烷酰氧基、任选经取代的芳基、任选经取代的氨基、任选经取代的双(三烷基甲硅烷基)氨基、任选经取代的三烷基甲硅烷基、氧代基、阴离子配体、中性配体、或多齿(multidentate)配体;
a≥1;且b≥1。
在另一非限制性的情况下,金属前体包含具有化学式(V)的结构:
MaRbLc(V),
其中:
M为具有高EUV吸收截面的金属或原子;
每个R独立地为卤基、任选经取代的烷基、任选经取代的芳基、任选经取代的胺基、任选经取代的烷氧基、或L;
每个L独立地为配体、阴离子配体、中性配体、多齿配体、离子、或其他成分,其与相对反应物进行反应,其中,R与L和M接合在一起能够可选地形成杂环基基团,或者于其中,R与L接合在一起能够可选地形成杂环基基团;
a≥1;b≥1;且c≥1。
在一些实施方案中,金属前体内的各配体可以是与相对反应物进行反应的配体。在一情况下,金属前体包含具有化学式(V)的结构,其中,每个R独立地为L。在另一情况下,金属前体包含具有化学式(Va)的结构:
MaLc(Va),
其中:
M为具有高EUV吸收截面的金属或原子;
每个L独立地为配体、离子、或其他成分,其与相对反应物进行反应,其中,两个L接合在一起能够可选地形成杂环基基团;
a≥1;且c≥1。
在化学式(Va)的特定实施方案中,a为1。在另外的实施方案中,c为2、3、或4。
对于在此的任何化学式,M可以是具有高图案化辐射吸收截面(例如,等于或大于1×107cm2/mol的EUV吸收截面)的金属、类金属或原子。在一些实施方案中,M为锡(Sn)、碲(Te)、铋(Bi)、锑(Sb)、钽(Ta)、铯(Cs)、铟(In)、钼(Mo)、铪(Hf)、碘(I)、锆(Zr)、铁(Fe)、钴(Co)、镍(Ni)、铜(Cu)、锌(Zn)、银(Ag)、以及铂(Pt)。在另外的实施方案中,在化学式(IV)、(V)、或(Va)中,M为Sn,a为1,以及c为4。在其他实施方案中,在化学式(IV)、(V)、或(Va)中,M为Sn,a为1,以及c为2。在特定实施方案中,M为Sn(II)(例如在化学式(IV)、(V)、或(Va)中),从而提供为以Sn(II)为基础的化合物的金属前体。在其他实施方案中,M为Sn(IV)(例如在化学式(IV)、(V)、或(Va)中),从而提供为以Sn(IV)为基础的化合物的金属前体。在特定实施方案中,该前体包含碘(例如,如过碘酸盐)。
对于在此的任何化学式,每个R独立地为H、卤基、任选经取代的烷基、任选经取代的环烷基、任选经取代的环烯基、任选经取代的烯基、任选经取代的炔基、任选经取代的烷氧基(例如-OR1,其中,R1可以是任选经取代的烷基)、任选经取代的烷酰氧基、任选经取代的芳基、任选经取代的氨基、任选经取代的双(三烷基甲硅烷基)氨基、任选经取代的三烷基甲硅烷基、氧代基、阴离子配体(例如氧负离子基(oxido)、氯代基(chlorido)、氢化基(hydrido)、醋酸根、亚胺二醋酸根等等)、中性配体、或多齿配体。
三烷基甲硅烷基三烷基甲硅烷基在某些实施方案中,任选经取代的氨基为-NR1R2,其中每一R1和R2独立地为H或烷基;或R1和R2与其每一者所附接的氮原子共同形成杂环基团(如本文中所定义的)。在其他实施方案中,任选经取代的双(三烷基甲硅烷基)氨基为-N(SiR1R2R3)2,其中每一R1、R2和R3独立地为任选经取代的烷基。在还有的其他实施方案中,任选经取代的三烷基甲硅烷基为-SiR1R2R3,其中每一R1、R2和R3独立地为任选经取代的烷基。
在其他实施方案中,该化学式包括为-NR1R2的第一R(或第一L)以及为-NR1R2的第二R(或第二L),其中,每一Rl与R2独立地为H或任选经取代的烷基;或其中,来自第一R(或第一L)的R1和来自第二R(或第二L)的R1与各自连接的氮原子及金属原子接合在一起形成如本文所定义的杂环基基团。在另外的其他实施方案中,该化学式包括为-OR1的第一R以及为-OR1的第二R,其中,每个R1独立地为H或任选经取代的烷基;或者其中,来自第一R的R1以及来自第二R的R1与各自连接的氧原子及金属原子接合在一起形成如本文所定义的杂环基基团。
在一些实施方案中,R或L中的至少一者(例如,在化学式(IV)、(V)或(Va)中)为任选经取代的烷基。非限制性的烷基基团包括例如CnH2n+1,其中n为1、2、3或更大,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、或叔丁基。在各种实施方案中,R或L具有至少一β-氢或β-氟。
在一些实施方案中,每个R或L或至少一个R或L(例如,在化学式(IV)、(V)或(Va)中)为卤基。尤其是,金属前体可以是金属卤化物。非限制性的金属卤化物包括SnBr4、SnCl4、SnI4、以及SbCl3
在一些实施方案中,每个R或L或至少一个R或L(例如,在化学式(IV)、(V)或(Va)中)可以包含氮原子。在特定实施方案中,一个或更多R或L可以是任选经取代的氨基、任选经取代的单烷基氨基(例如,-NR1H,其中,R1为任选经取代的烷基)、任选经取代的二烷基氨基(例如-NR1R2,其中,每个R1和R2独立地为任选经取代的烷基)、或任选经取代的双(三烷基甲硅烷基)氨基。非限制性的R与L取代基可包括例如-NMe2、-NHMe、-NEt2、-NHEt、-NMeEt、-N(t-Bu)-[CHCH3]2-N(t-Bu)-(tbba)、-N(SiMe3)2、以及-N(SiEt3)2
在一些实施方案中,每个R或L或至少一个R或L(例如,在化学式(IV)、(V)或(Va)中)可以包含硅原子。在特定实施方案中,一个或更多R或L可以是任选经取代的三烷基甲硅烷基或任选经取代的双(三烷基甲硅烷基)氨基。非限制性的R或L取代基可包括例如-SiMe3、-SiEt3、-N(SiMe3)2、和-N(SiEt3)2
在一些实施方案中,每个R或L或至少一个R或L(例如,在化学式(IV)、(V)或(Va)中)可包含氧原子。在特定实施方案中,一个或更多R或L可以是任选经取代的烷氧基或任选经取代的烷酰氧基。非限制性的R或L取代基包括例如甲氧基、乙氧基、异丙氧基(i-PrO)、叔丁氧基(t-BuO)、醋酸根(-OC(O)-CH3)、以及-O=C(CH3)-CH=C(CH3)-O-(acac)。
本文中任一化学式可包含一个或更多中性配体。非限制性中性配体包括任选经取代的胺、任选经取代的醚、任选经取代的烷基、任选经取代的烯烃、任选经取代的炔烃、任选经取代的苯、氧代基或一氧化碳。
本文中任何化学式可包含一个或更多的多齿(例如,双齿)配体。非限制性多齿配体包括二酮根(例如,乙酰丙酮根(acac)或-OC(R1)-Ak-(R1)CO-或-OC(R1)-C(R2)-(R1)CO)、双齿螯合二氮(例如,-N(R1)-Ak-N(R1)-或-N(R3)-CR4-CR2=N(R1)-)、芳香族(例如,-Ar-)、脒根(amidinate)(例如,-N(R1)-C(R2)-N(R1)-)、氨基烷氧根(例如,-N(R1)-Ak-O-或-N(R1)2-Ak-O-)、二氮杂二烯基(diazadienyl)(例如,-N(R1)-C(R2)-C(R2)-N(R1)-)、环戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、任选经取代的杂环基、任选经取代的亚烷基或任选经取代的亚杂烷基。在特定实施方案中,每一个R1独立地为H、任选经取代的烷基、任选经取代的卤代烷基、或任选经取代的芳基;每一个R2独立地为H或任选经取代的烷基;R3与R4一起形成任选经取代的杂环基;Ak为任选经取代的亚烷基;且Ar为任选经取代的亚芳基。
在特定实施方案中,金属前体包括锡。在一些实施方案中,锡前体包括SnR或SnR2或SnR4或R3SnSnR3,其中每一R独立地为H、卤素、任选经取代的C1-12烷基、任选经取代的C1-12烷氧基、任选经取代的氨基(例如,-NR1R2)、任选经取代的C2-12烯基、任选经取代的C2-12炔基、任选经取代的C3-8环烷基、任选经取代的芳基、环戊二烯基、任选经取代的双(三烷基甲硅烷基)氨基(例如,-N(SiR1R2R3)2)、任选经取代的烷酰氧基(例如,醋酸根)、二酮根(例如,-OC(R1)-Ak-(R2)CO-)或双齿螯合二氮(例如,-N(R1)-Ak-N(R1)-)。在特定实施方案中,每一个R1、R2和R3独立地为H或C1-12烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基);且Ak为任选经取代的C1-6亚烷基。非限制性锡前体包括SnF2、SnH4、SnBr4、SnCl4、SnI4、四甲基锡(SnMe4)、四乙基锡(SnEt4)、三甲基氯化锡(SnMe3Cl)、二甲基二氯化锡(SnMe2Cl2)、甲基三氯化锡(SnMeCl3)、四烯丙基锡、四乙烯基锡、六苯基二锡(IV)(Ph3Sn-SnPh3,其中Ph为苯基)、二丁基二苯基锡(SnBu2Ph2)、三甲基(苯基)锡(SnMe3Ph)、三甲基(苯基乙炔基)锡、三环己基氢化锡、三丁基氢化锡(SnBu3H)、二醋酸二丁基锡(SnBu2(CH3COO)2)、乙酰丙酮锡(II)(Sn(acac)2)、SnBu3(OEt)、SnBu2(OMe)2、SnBu3(OMe)、Sn(t-BuO)4、Sn(n-Bu)(t-BuO)3、四(二甲基氨基)锡(Sn(NMe2)4)、四(乙基甲基氨基)锡(Sn(NMeEt)4)、四(二乙基氨基)锡(IV)(Sn(NEt2)4)、(二甲基氨基)三甲基锡(IV)(Sn(Me)3(NMe2)、Sn(i-Pr)(NMe2)3、Sn(n-Bu)(NMe2)3、Sn(s-Bu)(NMe2)3、Sn(i-Bu)(NMe2)3、Sn(t-Bu)(NMe2)3、Sn(t-Bu)2(NMe2)2、Sn(t-Bu)(NEt2)3、Sn(tbba)、Sn(II)(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡烷醇胺-2-亚基)(Sn(II)(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene))、或双[双(三甲基甲硅烷基)氨基]锡(Sn[N(SiMe3)2]2)。
在其他实施方案中,金属前体包括铋,例如于BiR3中,其中每一个R独立地为卤素、任选经取代的C1-12烷基、单-C1-12烷基氨基(例如,-NR1H)、二-C1-12烷基氨基(例如,-NR1R2)、任选经取代的芳基、任选经取代的双(三烷基甲硅烷基)氨基(例如,-N(SiR1R2R3)2)、或二酮根(例如,-OC(R4)-Ak-(R5)CO-)。在特定实施方案中,每一R1、R2及R3独立地为C1-12烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基);每一个R4和R5独立地为H或任选经取代的C1-12烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基)。非限制性的铋前体包括BiCl3、BiMe3、BiPh3、Bi(NMe2)3、Bi[N(SiMe3)2]3、及Bi(thd)3,其中thd为2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl-3,5-heptanedionate)。
在其他实施方案中,金属前体包括碲,例如TeR2或TeR4,其中每一个R独立地为卤素、任选经取代的C1-12烷基(例如,甲基、乙基、异丙基、叔丁基以及新戊基)、任选经取代的C1-12烷氧基、任选经取代的芳基、羟基、氧代基或任选经取代的三烷基甲硅烷基。非限制性的碲前体包括二甲基碲(TeMe2)、二乙基碲(TeEt2)、二(正丁基)碲(Te(n-Bu)2)、二(异丙基)碲(Te(i-Pr)2)、二(叔丁基)碲(Te(t-Bu)2)、叔丁基氢化碲(Te(t-Bu)(H))、Te(OEt)4、双(三甲基甲硅烷基)碲(Te(SiMe3)2)以及双(三乙基甲硅烷基)碲(Te(SiEt3)2)。
金属前体还可以包含铯。非限制性的铯前体包含Cs(OR),其中R为任选经取代的C1-12烷基或任选经取代的芳基。其他铯前体包含Cs(Ot-Bu)和Cs(Oi-Pr)。
金属前体可包含锑,如SbR3,其中每一R独立地为卤素、任选经取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基、和新戊基)、任选经取代的C1-12烷氧基、烷氧基、或任选经取代的氨基(例如-NR1R2,其中R1和R2中的每一者独立地为H或任选经取代的C1-12烷基)。非限制性的锑前体包含SbCl3、Sb(OEt)3、Sb(On-Bu)3、和Sb(NMe2)3
其他金属前体包含铟前体,如InR3,其中每一R独立地为卤素、任选经取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基、和新戊基)、或二酮酸盐(例如-OC(R4)-Ak-(R5)CO-,其中R4和R5中的每一者独立地为H或C1-12烷基)。非限制性的铟前体包含InCp,其中Cp为环戊二烯基、InCl3、InMe3、In(acac)3、In(CF3COCHCOCH3)3、和In(thd)3
还有的其他的金属前体包含钼前体,如MoR4、MoR5、或MoR6中的每一R独立地为任选经取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基、和新戊基)、任选经取代的烯丙基(例如烯丙基如C3H5、或烯丙基的氧化物如C5H5O)、任选经取代的烷基亚氨基(例如=N-R1)、乙腈、任选经取代的氨基(例如-NR1R2)、卤素(例如氯或溴)、羰基、二酮酸盐(例如-OC(R3)-Ak-(R3)CO-)、或二齿螯合二氮(例如-N(R3)-Ak-N(R3)-或-N(R4)-CR5-CR2=N(R3)-)。在特定的实施方案中,每一R1和每一R2独立地为H或任选经取代的烷基;每一R3独立地为H、任选经取代的烷基、任选经取代的卤烷基、或任选经取代的芳基;以及R4和R5可一起形成任选经取代的杂环基。非限制性的钼前体包含Mo(CO)6、二(t-丁基亚氨基)二(二甲基氨基)钼(VI)、或Mo(NMe2)2(=Nt-Bu)2、钼(VI)二氧化物二(2,2,6,6-四甲基-3,5-庚二酮酸)、或Mo(=O)2(thd)2、或钼烯丙基络合物如Mo(η3-烯丙基)X(CO)2(CH3CN)2,其中烯丙基可以是C3H5或C5H5O且X可以是Cl、Br、或烷基(例如甲基、乙基、异丙基、叔丁基、或新戊基)。
金属前体还可以包含铪前体,如HfR3或HfR4,其中每一R独立地为任选经取代的C1-12烷基、任选经取代的C1-12烷氧基、单C1-12烷基氨基(例如-NR1H,其中R1为任选经取代的C1-12烷基)、双C1-12烷基氨基(例如-NR1R2,其中R1和R2中的每一者独立地为任选经取代的C1-12烷基)、任选经取代的芳基(例如苯基、苯、或环戊二烯基、和其取代形式)、任选经取代的烯丙基(例如烯丙基、或烯丙基的氧化物)、或二酮酸盐(例如-OC(R4)-Ak-(R5)CO-,其中R4和R5中的每一者独立地为H或任选经取代的C1-12烷基)。非限制性的铪前体包含Hf(i-Pr)(NMe2)3;Hf(η-C6H5R1)(η-C3H5)2,其中R1为H或烷基;HfR1(NR2R3)3,其中R1、R2、和R3中的每一者独立地为任选经取代的C1-12烷基(例如甲基、乙基、异丙基、叔丁基、或新戊基);HfCp2Me2;Hf(Ot-Bu)4;Hf(OEt)4;Hf(NEt2)4;Hf(NMe2)4;Hf(NMeEt)4;以及Hf(thd)4
在此说明另外的其他金属前体以及非限制性的取代基。例如,金属前体可以是具有如上所述的化学式(IV)、(V)、以及(Va)、或如下所述的化学式(VI)、(VII)、(VIII)、(IX)、(X)、或(XI)的结构的任何一者。如本文所述的取代基M、R、X、或L中的任何一者可被使用于化学式(IV)、(V)、(Va)、(VI)、(VII)、(VIII)、(IX)、(X)、或(XI)中的任何一者中。
可在具有梯度的膜内提供存在于金属前体和/或相对反应物中的各种原子。在本文中所讨论的技术的一些实施方案中,可进一步改善光致抗蚀剂(PR)膜的EUV敏感度的非限制性策略为,产生膜组成在竖直方向上具有梯度的膜,导致深度相关的EUV敏感度。在具有高吸收系数的均质PR中,贯穿膜深度的光强度降低会需要更高的EUV剂量,以确保底部的充分暴露。通过在膜底部处(相对于膜顶部处)增加具有高EUV吸收度的原子的密度(即,通过产生具有增加的EUV吸收的梯度),更有效地使用可得的EUV光子、同时更均匀地使吸收(和二次电子的影响)分布朝向更高吸收膜的底部变得可能。在一非限制性实例中,具有梯度的膜包括Te、I或其他原子朝向该膜的底部(例如,更接近衬底)。
在PR膜中建立竖直的组成梯度的策略特别适用于干式沉积法,例如MLD,、CVD和ALD,并且可通过在沉积期间调整不同反应物之间的流量比来实现。可建立的组成梯度的类型包括:在不同高吸收金属之间的比率,具有EUV可断裂的有机基团的金属原子的百分比,相对反应物的百分比、以及上述的组合。
EUV PR膜中的组成梯度也可带来额外的好处。例如,膜的底部中的高EUV吸收元素的高密度可有效地产生更多二次电子,其可更好地使膜的上部暴露。此外,这样的组成梯度也可能与未键合至大体积的末端取代基的EUV吸收物质的较高比例有直接关系。例如,在基于Sn的抗蚀剂的情况下,引入具有四个离去基团的锡前体是可能的,从而促进在界面处形成Sn-O-衬底键合以改善粘附性。
可通过使用本文中所述的任何初始前体(例如,锡前体或非锡前体)和/或相对反应物来形成这类具有梯度的膜。其他的膜、方法、前体和其他化合物被描述于2019年10月2日提出申请的美国临时专利申请No.62/909,430、以及2020年10月1日提出申请的国际申请No.PCT/US20/53856和国际公开No.WO 2021/067632,其中每一者的发明名称为SUBSTRATESURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUVPHOTRESISTS;和2020年6月24日提出申请的国际申请No.PCT/US20/70172,其国际公布号为No.WO 2020/264557、发明名称为PHOTORIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT,且其公开内容至少关于直接可光图案化的金属氧化物膜的组成、沉积和图案化以形成EUV抗蚀剂掩模,该公开内容通过引用并入本文。
此外,在各层(例如,膜)内可使用两种或更多不同的前体。例如,在本文中的任何含金属前体中的两者或更多者可用于形成合金。在一非限制性实例中,碲化锡的形成可通过使用包括-NR2配体的锡前体与RTeH、RTeD、或TeR2前体,其中R为烷基,特别为叔丁基或异丙基。在另一实例中,金属碲化物的形成可通过使用包括烷氧基或卤素配体(例如,SbCl3)的第一金属前体与包括三烷基甲硅烷基配体(例如,双(三甲基硅基)碲)的含碲前体。
其他示例性的EUV敏感材料、以及处理方法和装置被描述于美国专利No.9,996,004和国际专利公开No.WO 2019/217749,每一者的整体内容通过引用并入本文中。
如本文中所述的,本文中的膜、层和方法可与任何有用的前体一起使用。在一些实例中,金属前体包括具有下列化学式(VI)的金属卤化物:
MXn(VI),
其中M为金属,X为卤素,且n为2至4(具体取决于M的选择)。用于M的示例性金属包括Sn、Te、Bi、或Sb。示例性的金属卤化物包括SnBr4、SnCl4、SnI4、和SbCl3
另一非限制性含金属前体包括具有化学式(VII)的结构:
MRn(VII),
其中M为金属;每一个R独立地为H、任选地经取代的烷基、氨基(例如,-NR2,其中每一个R独立地为烷基)、任选地经取代的双(三烷基甲硅烷基)氨基(例如,-N(SiR3)2,其中每一个R独立地为烷基)、或任选地经取代的三烷基甲硅烷基(例如,-SiR3,其中每一个R独立地为烷基);且n为2至4(具体取决于M的选择)。M的示例性金属包括Sn、Te、Bi、或Sb。烷基可以是CnH2n+1,其中n为1、2、3或更大。示例性有机金属剂包括SnMe4、SnEt4、TeRn、RTeR、叔丁基氢化碲(Te(t-Bu)(H))、二甲基碲(TeMe2)、二叔丁基碲(Te(t-Bu)2)、二(异丙基)碲(Te(i-Pr)2)、双(三甲基甲硅烷基)碲(Te(SiMe3)2)、双(三乙基甲硅烷基)碲(Te(SiEt3)2)、三(双(三甲基甲硅烷基)酰氨基)铋(Bi[N(SiMe3)2]3)、Sb(NMe2)3及类似物。
另一非限制性含金属前体可包括具有下式(VIII)的覆盖剂:
MLn(VIII),
其中M为金属;每一个L独立地为任选地经取代的烷基、氨基(例如,-NR1R2,其中每一R1和R2可以是H或烷基,例如本文所述的任一者)、烷氧基(例如,-OR,其中R为烷基,例如本文所述的任一者)、卤素或其他有机取代基;且n为2至4,具体取决于M的选择。M的示例性金属包括Sn、Te、Bi、或Sb。示例性的配体包括二烷基氨基(例如,二甲基氨基、甲基乙基氨基以及二乙基氨基)、烷氧基(例如,叔丁氧基及异丙氧基)、卤素(例如,F、Cl、Br以及I)、或其他有机取代基(例如,乙酰丙酮或N2,N3-二叔丁基-丁烷-2,3-二氨基)。非限制性覆盖剂包括SnCl4;SnI4;Sn(NR2)4,其中每一个R独立地为甲基或乙基;或Sn(t-BuO)4。在一些实施方案中,存在多种类型的配体。
含金属前体可包括具有下式(IX)的被烃基取代的覆盖剂:
RnMXm(IX),
其中M为金属,R为具有β-氢的C2-10烷基或经取代的烷基,且X为与暴露羟基中的羟基反应后合适的离去基团。在多种实施方案中,n=1至3,且m=4–n、3–n或2–n,只要m>0(或m≥1)即可。例如,R可以是叔丁基、叔戊基、叔己基、环己基、异丙基、异丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有杂原子取代基的衍生物。合适的杂原子包括卤素(F、Cl、Br或I)、或氧(-OH或-OR)。X可以是二烷基氨基(例如,二甲基氨基、甲基乙基氨基或二乙基氨基)、烷氧基(例如,叔丁氧基、异丙氧基)、卤素(例如,F、Cl、Br或I)、或另一有机配体。经烃基取代的覆盖剂的示例包括叔丁基三(二甲基氨基)锡(Sn(t-Bu)(NMe2)3)、正丁基三(二甲基氨基)锡(Sn(n-Bu)(NMe2)3)、叔丁基三(二乙基氨基)锡(Sn(t-Bu)(NEt2)3)、二(叔丁基)二(二甲基氨基)锡(Sn(t-Bu)2(NMe2)2)、仲丁基三(二甲基氨基)锡(Sn(s-Bu)(NMe2)3)、正戊基三(二甲基氨基)锡(Sn(n-pentyl)(NMe2)3)、异丁基三(二甲基氨基)锡(Sn(i-Bu)(NMe2)3)、异丙基三(二甲基氨基)锡(Sn(i-Pr)(NMe2)3)、叔丁基三(叔丁氧基)锡(Sn(t-Bu)(t-BuO)3)、正丁基三(叔丁氧基)锡(Sn(n-Bu)(t-BuO)3)或异丙基三(叔丁氧基)锡(Sn(i-Pr)(t-BuO)3)。
在多种实施方案中,含金属前体在每一金属原子上包括至少一个可以在气相反应中留存的烷基,而与该金属原子配位的其他配体或离子可被相对反应物取代。据此,另一非限制性含金属前体包括具有式(X)的有机金属剂:
MaRbLc(X),
其中M为金属;R为任选地经取代的烷基;L为与相对反应物具反应性的配体、离子或其他成分;a≥1;b≥1;且c≥1。在特定实施方案中,a=1,且b+c=4。在一些实施方案中,M为Sn、Te、Bi、或Sb。在特定实施方案中,每一L独立地为氨基(例如,-NR1R2,其中每一R1及R2可以是H或烷基,例如本文所述的任一者)、烷氧基(例如,-OR,其中R为烷基,例如本文所述的任一者)、或卤素(例如,F、Cl、Br或I)。示例性的试剂包括SnMe3Cl、SnMe2Cl2、SnMeCl3、SnMe(NMe2)3、SnMe2(NMe2)2、SnMe3(NMe2)和类似物。
在其他实施方案中,非限制性含金属前体包括具有式(XI)的有机金属剂:
MaLc(XI),
其中M为金属;L为与相对反应物具反应性的配体、离子或其他成分;a≥1;且c≥1。在特定实施方案中,c=n-1,且n为2、3或4。在一些实施方案中,M为Sn、Te、Bi、或Sb。相对反应物优选地具有取代反应性成分、配体或离子(例如,本文式中的L)以通过化学键合连接至少两金属原子的能力。
在本文的任一实施方案中,R可以是任选地经取代的烷基(例如,C1-10烷基)。在一实施方案中,烷基被一个或更多卤素取代(例如,卤素取代的C1-10烷基,其包括一个、两个、三个、四个或更多卤素,例如,F、Cl、Br或I)。示例性的R取代基包括CnH2n+1,优选地为其中n≥3;以及CnFxH(2n+1-x),其中2n+1≤x≤1。在多种实施方案中,R具有至少一个β-氢或β-氟。例如,R可选自由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基及其混合物所组成的群组。
在本文任一实施方案中,L可以是易被相对反应物取代以产生M-OH成分的任何成分,例如选自由氨基(例如,-NR1R2,其中R1和R2中的每一者可以是H或烷基,例如本文所述的任一者)、烷氧基(例如,-OR,其中R为烷基,例如本文所述的任一者)、羧酸根、卤素(例如,F、Cl、Br或I)及其混合物所组成的群组的成分。
示例性有机金属试剂包括SnMeCl3、(N2,N3-二-叔丁基-丁烷-2,3-二氨基)锡(II)(Sn(tbba))、双(双(三甲基甲硅烷基)酰胺基)锡(II))、四(二甲氨基)锡(IV)(Sn(NMe2)4)、叔丁基三(二甲氨基)锡(Sn(叔丁基)(NMe2)3)、异丁基三(二甲氨基)锡(Sn(i-Bu)(NMe2)3)、正丁基三(二甲氨基)锡(Sn(n-Bu)(NMe2)3)、仲丁基三(二甲氨基)锡(Sn(s-Bu)(NMe2)3)、异丙基(三)二甲基氨基锡(Sn(i-Pr)(NMe2)3)、正丙基三(二乙基氨基)锡(Sn(n-Pr)(NEt2)3)和类似的烷基(三)(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡(Sn(t-Bu)(t-BuO)3)。在一些实施方案中,有机金属试剂是部分氟化的。
光刻工艺
EUV光刻利用EUV抗蚀剂,其可以是通过基于液体的旋涂技术所产生的基于聚合物的化学放大抗蚀剂、通过旋涂技术所产生的金属氧化物基抗蚀剂或通过干式气相沉积技术所产生的基于金属氧化物的抗蚀剂。这样的EUV抗蚀剂可包括本文中所述的任何EUV敏感性膜或材料。光刻方法可包括例如通过用EUV辐射进行EUV抗蚀剂暴露来对抗蚀剂进行图案化以形成光图案,接着通过根据光图案去除抗蚀剂的一部分来对图案进行显影以形成掩模。
还应当理解,虽然本公开涉及光刻图案化技术和以EUV光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm EUV波长的EUV以外,与这种光刻术最相关的辐射源是DUV(深紫外),其一般指使用248nm或193nm准分子激光源;X射线,其正式包括X射线范围的较低能量范围内的EUV;以及电子束,其可以覆盖很宽的能量范围。这种方法包括使衬底(例如,可选地具有暴露羟基)与含金属前体(例如,本文所述的任一者)接触以形成金属氧化物(例如,包含有金属氧化物键的网络的层,其可包括其他非金属和非氧基团)膜以作为衬底表面上的成像/PR层的那些方法。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本申请中描述的方法仅仅是可用于本技术的方法和材料的示例。
直接可光图案化的EUV抗蚀剂可由混合在有机成分内的金属和/或金属氧化物所组成、或包含它们。金属/金属氧化物是非常有前景的,因为它们可增强EUV光子吸附并且产生二次电子和/或对下伏的膜堆叠和设备层显示出增加的蚀刻选择性。应注意,本公开内容包含湿式(溶剂)方案。对于湿式显影而言,可将晶片暴露于显影溶剂、干燥、接着进行烘烤。
沉积工艺,包括干式或湿式沉积
如上文所讨论的,本公开提供在半导体衬底上制造成像层的方法,其可使用EUV或其他下一代光刻技术来图案化。方法包括以蒸气或以溶剂产生聚合的有机金属材料并接着将其沉积在衬底上的那些方法。在一些实施方案中,沉积可以采用任何有用的含金属前体(例如,例如本文中所述的金属卤化物、覆盖剂、或有机金属剂)作为干式制剂或作为旋涂制剂。沉积工艺可包括涂敷EUV敏感材料作为抗蚀剂膜。在此处描述示例性的EUV敏感材料。
本技术包括将EUV敏感性膜沉积在衬底上的方法,这些薄膜可用作随后的EUV光刻和处理的抗蚀剂。
这种EUV敏感性膜包含在暴露于EUV时发生变化的材料,例如在低密度的富含M-OH的材料中与金属原子键合的大体积侧链配体的损失,从而允许它们交联成更致密的M-O-M键合的金属氧化物材料。在其他实施方案中,EUV暴露导致在键合至金属原子的配体之间的进一步的交联,从而提供更致密的M-L-M键合有机金属材料,其中L为配体。在还有的其他实施方案中,EUV暴露导致配体的失去,以提供可被正型显影剂去除的M-OH材料。
通过EUV图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续处理中利用,例如溶解未暴露或暴露区域,或者在暴露或未暴露区域上选择性地沉积材料。在一些实施方案中,在进行这种后续处理的条件下,未暴露的膜具有疏水表面,并且暴露的膜具有亲水表面(应认识到暴露区域和未暴露区域的亲水特性彼此相关)。例如,材料的去除可以通过利用膜的化学组成、密度和交联的差异来进行。如本文进一步描述的那样,去除可以通过湿式处理进行。
形成在衬底表面上的可EUV图案化膜的厚度可根据表面特性、使用的材料和处理条件而变化。在各种实施方案中,膜厚度可以在约0.5nm至约100nm的范围内。优选地,膜具有足够的厚度以在EUV图案化的条件下吸收大部分EUV光。例如,抗蚀剂膜的总吸收度可以是30%或更少(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为10nm至20nm。此外,如上文所讨论的,沉积膜可以与表面特征紧密贴合(conform),从而在无需“填充”或以其他方式平坦化这种特征的实例中,在诸如具有下伏特征的衬底之类的衬底上方形成掩模方面提供优势。
膜(例如,成像层)可由以任何有用方式沉积的金属氧化物层所构成。这种金属氧化物层可通过使用本文所述的任何EUV敏感材料来沉积或涂敷,例如使用含金属前体(例如,金属卤化物、覆盖剂或有机金属剂)。在示例性工艺中,聚合的有机金属材料是在衬底表面上以气相、以液相或原位形成,以提供金属氧化物层。金属氧化物层可用作膜、粘附层或覆盖层。
可选地,金属氧化物层可包括羟基封端金属氧化物层,其可通过使用覆盖剂(如本文所述的任一者)与含氧相对反应物来沉积。这种羟基封端金属氧化物层可用作例如两其他层之间(例如衬底与膜之间)和/或介于光致抗蚀剂层和下层之间的粘附层。
示例性沉积技术(例如,用于膜)包括本文所述的任一者,例如ALD(例如,热ALD和等离子体增强ALD)、旋涂沉积、包括PVD共溅镀的PVD、CVD(例如,PE-CVD或LP-CVD)、溅镀沉积、包括电子束共蒸镀的电子束沉积等,或其组合,例如具有CVD组分的ALD,例如含金属前体与相对反应物在时间或空间上分开的不连续类ALD工艺。
沉积作为可应用于本公开内容的EUV光致抗蚀剂膜的前体和方法的进一步描述可见于国际申请No.PCT/US19/31618,其公开为国际公开No.WO 2019/217749、申请日为2019年5月9日、且发明名称为METHODS FOR MAKING EUV PATTERNABLE HARD MASKS。除了金属前体和相对反应物外,薄膜还可包括可选的材料,以修改膜的化学或物理性质,例如修改膜对EUV的敏感度或增强蚀刻抗性。可引入这类可选的材料,例如通过在沉积于衬底上之前进行掺杂、在膜沉积之后进行掺杂或两者进行。在一些实施方案中,可引入温和的远程H2等离子体,以便,例如,以Sn-H取代一些Sn-L键,其可增加光致抗蚀剂在EUV下的反应性。
干式沉积方法可包括,将金属前体(例如,含金属的前体(如有机金属试剂))的蒸气流与可选的相对反应物的蒸气流混合,以形成经聚合的有机金属材料,并且沉积该有机金属材料至半导体衬底的表面上。在一些实施方案中,将含金属前体与可选的相对反应物混合,可形成经聚合的有机金属材料。如本领域中普通技术人员能理解的,在基本上连续的工艺中,工艺的混合和沉积时间可以是同时进行的。湿式沉积方法可以包括在液体溶剂内提供这种前体或聚合的有机金属材料。
在示例性连续CVD工艺中,将金属前体和可选的相对反应物来源的两种或更多气体流(于分开的入口路径中)引导至CVD装置的沉积室中,在此处它们在气相中进行混合并反应,以在衬底上形成团聚的聚合物材料(例如,通过金属-氧-金属键的形成)或膜。例如,可使用分开的注入入口或双气室喷头,以引入气体流。该装置被配置为使得金属前体和可选的相对反应物流在室中混合,从而允许金属前体和可选的相对反应物进行反应,以形成经聚合的有机金属材料或膜(例如,金属氧化物涂层或团聚的聚合物材料,例如通过金属-氧-金属键的形成)。
为了沉积金属氧化物,CVD工艺一般是在减压下进行,例如从0.1托(Torr)至10托(Torr)。在一些实施方案中,该工艺是在1托至2托的压强下进行。衬底的温度优选地是低于反应物流的温度。例如,衬底温度可以是0℃至250℃,或环境温度(例如23℃)至150℃。
为了沉积团聚的聚合物材料,CVD工艺通常在减压(例如从10毫托至10托)下进行。在一些实施方案中,该工艺是在0.5至2托下进行。衬底的温度优选地等于或低于反应物流的温度。例如,衬底温度可以是0℃至250℃,或环境温度(例如,23℃)至150℃。在多种工艺中,聚合的有机金属材料的沉积以与表面温度成反比的速率发生。在不限制本技术的机制、功能或实用性的实例中,据信来自这种气相反应的产物因金属原子与相对反应物交联而变成更大分子量,并且接着凝结或沉积在衬底上。
沉积方法在膜生长时调节膜的组成。在CVD工艺中,这可通过在沉积期间改变金属前体和相对反应物的相对流量来完成。沉积可在介于30℃与200℃之间、在介于0.01托至100托之间的压强发生,但更一般地介于约0.1托与10托之间。
膜(例如,通过金属-氧-金属键形成而形成的金属氧化物涂层或团聚的聚合材料)还可通过ALD工艺来沉积。例如,在分开时间引入金属前体和任选的相对反应物,其代表ALD循环。金属前体在表面上反应,从而在每一循环一次形成多达一层材料。这可允许很好地控制整个表面上的膜厚度的均匀性。ALD工艺一般是在减压(例如从0.1托至10托)下进行。在一些实施方案中,该工艺在1托至2托下进行。衬底温度可以是0℃至250℃,或环境温度(例如,23℃)至150℃。该工艺可以是热工艺,或优选为等离子体辅助沉积。
本文中任何沉积方法均可被修改成使得能使用两种或更多不同的金属前体。在一实施方案中,前体可包括相同金属但不同的配体。在另一实施方案中,前体可包括不同金属基团。在一非限制性的实例中,各种挥发性含金属的前体的交替流可提供混合的金属层,例如使用基于具有第一金属(例如,Sn)的金属醇盐前体与具有不同的第二金属(例如,Te)的基于甲硅烷基的前体。此外,可修改本文中的任何沉积方法以使得能使用两或更多种不同的相对反应物。
此外,本文中任一沉积方法均可被修改成在膜内提供一或更多层。在一实例中,可在每一层中使用不同的金属前体。在另一实例中,每一层可使用相同前体,但最顶层可具有不同的化学组成(例如,不同密度的金属-配体键、不同的金属比碳的比率、或不同的键合配体,如通过调节或改变金属前体所提供的)。
本文中的工艺可用于实现表面改性。在一些迭代(iteration)中,可使金属前体的蒸气通过晶片上方。可加热晶片以提供热能使反应进行。在一些迭代中,加热可介于约50℃与约250℃之间。在一些情况下,可使用相对反应物的脉冲,其通过泵抽和/或清扫步骤而隔开。例如,可在前体脉冲之间以脉冲式提供相对反应物,从而导致ALD或类ALD生长。在其他情况下,前体和相对反应物两者可同时流动。可用于表面改性的元素的示例包括I、F、Sn、Bi、Sb、Te、以及这些化合物的氧化物或合金。
本文中的工艺可用于沉积薄的金属氧化物或金属。示例包括SnOx、BiOx和Te。在沉积之后,可用MaRbLc形式的烷基取代前体(如本文中其他地方所述)来覆盖该膜。可使用相对反应物以更好地去除配体,并且可重复多个循环以确保衬底表面的完全饱和。接着,该表面可准备用于沉积EUV敏感性膜。一种可能方法为,产生SnOx薄膜。可能的化学方法包括,通过使四(二甲基氨基)锡与相对反应物(例如,水或O2等离子体)循环以生长SnO2。在生长之后,可使用覆盖剂。例如,可使异丙基三(二甲基氨基)锡蒸气流过该表面。
可在任何有用的表面上采用沉积工艺。如本文所提及的,“表面”为其上将沉积本技术的膜或在处理期间将暴露于EUV的表面。这种表面可存在于衬底上(例如,膜能沉积于其上)、膜上(例如,覆盖层待沉积于其上)、或下层上。
可采用任何有用的衬底,其包括适用于光刻加工的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,衬底是硅晶片。衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“下伏的形貌特征”)。
这种下伏的形貌特征可以包括在进行该技术的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先处理可包括该技术的方法或迭代工艺(通过该迭代工艺在衬底上形成两个或更多个特征层)中的其他处理方法。各种优势可源自本技术的膜与下层特征的一致性而无需“填充”或以其他方式平坦化这种特征,以及在多种材料表面上沉积膜的能力。
在一些实施方案中,可利用具有期望的材料的衬底表面制备传入晶片,其中最上面的材料是其中转移有抗蚀剂图案的层。虽然材料选择可根据集成度而变化,但通常希望选择能够以对EUV抗蚀剂或成像层的高选择性(即比之快得多地)蚀刻的材料。合适的衬底材料可包括各种基于碳的膜(例如可灰化硬掩模(AHM))、硅基膜(例如,硅、氧化硅、氮化硅、氮氧化硅或氮碳氧化硅,以及其掺杂形式,包括SiOx、SiOxNy、SiOxCyNz、a-Si:H、多晶硅或SiN)、或施加以促进图案化工艺的任何其他(通常是牺牲性的)膜。
在一些实施方案中,衬底是硬掩模,其用于下伏的半导体材料的光刻蚀刻。硬掩模可以包括多种材料中的任何一种,包括无定形碳(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、W-掺杂的C、WOx、HfO2、ZrO2、和Al2O3。例如,衬底可以优选地包括SnOx,例如SnO2。在各种实施方案中,该层可以是1nm至100nm厚,或2nm至10nm厚。
在一些非限制性实施方案中,衬底包括底层。底层可以沉积在硬掩模或其他层上,并且通常位于如本文所述的成像层(或膜)下方。底层可用于改进PR的灵敏度、增加EUV吸收率和/或增加PR的图案化性能。在要图案化的衬底上存在产生显著形貌的器件特征的情况下,底层的另一个重要功能可以是覆盖和平面化现有的形貌,以便随后的图案化步骤可以在具有所有焦点图案区域的平坦表面上执行。对于这种应用,底层(或多个底层中的至少一者)可以使用旋涂技术来涂敷。当所采用的PR材料具有大量的无机成分时,例如其显示出主要的金属氧化物骨架,则底层可有利地为基于碳的膜,其可通过旋涂或通过基于干式真空的沉积工艺来涂敷。该层可以包括具有基于碳及氢的组成的各种可灰化硬掩模(AHM)膜,并可掺杂有例如钨、硼、氮或氟之类的额外元素。
在一些实施方案中,表面活化操作可用于活化表面(例如,衬底及/或膜的表面)以用于进一步操作。例如,对于SiOx表面,可使用水或氧/氢等离子体在表面上产生羟基。对于基于碳或烃的表面,可使用诸多处理(例如,水、氢/氧CO2等离子体或臭氧处理),以产生羧酸/或羟基。这种方法可证明改善抗蚀剂特征对衬底的粘附是关键的,否则其可能会在处理期间或显影期间的溶剂中分层或剥离。
还可通过在表面中引起粗糙度以增强可用于相互作用的表面积以及直接改善机械粘附来提高粘附。例如,首先可利用使用Ar的溅射工艺或其他非反应性离子轰击来产生粗糙表面。接着,该表面可用如上所述的所期望的表面官能团(例如,羟基和/或羧酸基)进行封端。在碳上,可采用组合方法,其中可使用具化学反应性的含氧等离子体,例如CO2、O2、H2O(或H2与O2的混合物)来蚀刻去除局部不均匀的膜的薄层,同时以-OH、-OOH或-COOH基团封端。这可在有偏压或无偏压下完成。接合上述表面改性策略,该方法可发挥表面粗糙化以及衬底表面化学活化的双重目的,用于直接粘附至基于无机金属氧化物的抗蚀剂上,或作为中间表面改性以进一步官能化。
在多种实施方案中,该表面(例如,衬底和/或膜的表面)在其表面上包含暴露的羟基。一般而言,该表面可以是包含暴露羟基表面的任何表面或已被处理以产生暴露羟基表面的任何表面。可通过使用氧等离子体、水等离子体或臭氧的衬底的表面处理而在表面上形成这种羟基。在其他实施方案中,可对膜的表面进行处理,以提供暴露的羟基,覆盖层可以施加到其上面。在多种实施方案中,羟基封端的金属氧化物层具有0.1nm至20nm、或0.2nm至10nm、或0.5nm至5nm的厚度。
EUV暴露工艺
膜的EUV暴露可提供具有包括金属原子(M)的活化反应中心(其通过EUV介导的裂解事件而产生)的EUV暴露区域。这种反应中心可包括悬空金属键、M-H基团、裂解的M-配体基团、二聚化的M-M键、或M-O-M桥。在其他实施方案中,EUV暴露通过使膜内的配体进行光聚合而提供交联的有机成分;或者,EUV暴露会释放出由配体内的键的光分解所产生的气体副产物。
EUV暴露在真空环境中可以具有约10nm至约20nm的波长,例如10nm至15nm的波长,如13.5nm。尤其是,图案化可提供EUV暴露区域和EUV未暴露区域,以形成图案。
本技术可包括使用EUV以及DUV或电子束进行图案化。在这种图案化中,辐射聚焦在成像层的一个或多个区域上。典型地进行暴露使得成像层膜包括一个或多个未暴露于辐射的区域。所得成像层可以包括多个暴露和未暴露区域,从而产生与半导体器件的晶体管或其他特征的产生一致的图案,其通过在衬底的后续处理中从衬底添加或去除材料而形成。此处有用的EUV、DUV和电子束辐射方法和设备包括已知的方法和设备。
在一些EUV光刻技术中,有机硬掩模(例如,PECVD非晶氢化碳的可灰化硬掩模)进行图案化。在光致抗蚀剂暴露期间,EUV辐射在抗蚀剂以及下方的衬底中被吸收,从而产生高能量的光电子(例如,约100eV),并且继而是侧向扩散若干纳米的一连串低能量的二次电子(例如,约10eV)。这些电子增加抗蚀剂中化学反应的程度,其增强其EUV剂量的敏感性。然而,本质上为随机的二次电子图案被叠加在光学图像上。该不希望有的二次电子暴露在图案化抗蚀剂中导致分辨率下降、显著的线边缘粗糙度(LER)以及线宽变化。这些缺陷在随后图案转移蚀刻期间复制到待图案化的材料中。
本文公开了真空集成金属硬掩模处理和相关真空集成硬件,其将膜形成(沉积/冷凝)和光学光刻术组合,结果极大地改进了EUV光刻(EUVL)性能——例如降低的线边缘粗糙度。
在本文所述的各种实施方案中,可使用沉积(例如,冷凝)处理(例如,在PECVD工具,例如Lam
Figure BDA0004113755000000471
中进行的ALD或MOCVD;或旋涂工艺)来形成含金属的膜(例如光敏金属盐)或含金属的有机化合物(有机金属化合物)的薄膜,其在EUV中具有强吸收度(例如,在10nm至20nm量级的波长下),例如在EUVL光源的波长下(例如,13.5nm=91.8eV)。该膜在EUV暴露时发生光分解并且在(例如,在导体蚀刻工具,如Lam
Figure BDA0004113755000000472
中进行)后续蚀刻期间形成作为图案转移层的金属掩模。
在沉积之后,通过暴露于EUV光束,通常在相对高的真空下,使可EUV图案化薄膜图案化。对于EUV暴露,然后可以将含金属的膜沉积在与光刻平台(例如,晶片步进机,例如由荷兰Veldhoven的ASML提供的TWINSCAN NXE:
Figure BDA0004113755000000481
平台)集成的室中,并在真空下传送,以便在暴露前不要反应。由于环境气体(如H2O、O2等)对入射光子的强光吸收,EUVL还需要大大降低的压力,这个事实促进了与光刻工具的集成。在其他实施方案中,光敏金属膜沉积和EUV暴露可以在同一个室内进行。在还有的其它实施方案中,光敏金属膜沉积和EUV暴露可以在不同的室中进行。
显影工艺,包括湿式显影
EUV暴露或未暴露区域可通过任何有用的显影工艺移除。在一实施方案中,EUV暴露区域可具有活化的反应性中心,例如金属悬键、M-H基团、或二聚化的M-M键。在特定实施方案中,M-H基团可通过使用一个或更多显影工艺而选择性地移除。在其他实施方案中,M-M键可通过使用湿式显影工艺(例如,使用热乙醇和水以提供可溶的M(OH)n基团)而选择性地移除。在还有的其它实施方案中,EUV暴露区域通过使用湿式显影(例如,通过使用正型显影剂)去除。在一些实施方案中,EUV未暴露区域通过使用湿式显影(例如,通过使用负型显影剂)去除。
接合在一些实施方案中,可将干式操作与湿式操作接合以提供干/湿式工艺。对于此处的任何工艺(例如对于光刻工艺、沉积工艺、EUV暴露工艺、显影工艺、前处理工艺、涂敷后工艺等等),各种特定操作可包括湿式、干式、或湿式与干式实施方案。例如,可将湿式沉积与湿式显影接合;或者可将干式沉积与湿式显影接合。因此,如本文所述,任何这些操作可与湿式或干式涂覆前与涂敷后工艺接合。
在特定实施方案,也可采用湿式显影方法。在特定实施方案中,这类湿式显影方法用于去除EUV暴露区域,以提供正型抗蚀剂或负型抗蚀剂。示例性的、非限制性的湿式显影可包括使用碱性显影剂(例如,水性碱性显影剂),例如包括铵的那些,例如氢氧化铵(NH4OH);基于铵的离子液体,例如氢氧化四甲基铵(TMAH)、氢氧化四乙基铵(TEAH)、氢氧化四丙基铵(TPAH)、氢氧化四丁基铵(TBAH)或其他氢氧化四烷基铵;有机胺,例如单、二、和三有机胺(例如,二乙胺、二乙胺、乙二胺、三亚乙基四胺);或烷醇胺,例如单乙醇胺、二乙醇胺、三乙醇胺、或二甘醇胺。在其他实施方案中,碱性显影剂可包括含氮碱,例如具有化学式RN1NH2、RN1RN2NH、RN1RN2RN3N或RN1RN2RN3RN4N+XN1-的化合物,其中RN1、RN2、RN3和RN4各自独立地为有机取代基(例如,任选经取代的烷基或本文中所述的任何者)或可接合在一起的两种或更多有机取代基,且XN1-可包括OH-、F-、Cl-、Br-、I-或其他本领域已知的四铵阳离子物质。这些碱也可包括杂环氮化合物,其中一些被描述在本文中。
其他显影方法可包括使用酸性显影剂(例如,水性酸性显影剂、或在有机溶剂中的酸显影剂),其包括卤化物(例如,HCl或HBr)、有机酸(例如,甲酸、乙酸或柠檬酸)、或有机氟化合物(例如,三氟乙酸);或使用有机显影剂,例如酮(例如,2-庚酮、环己酮或丙酮)、酯(例如,γ-丁内酯或3-乙氧基丙酸乙酯(EEP))、醇(例如,异丙醇(IPA)),或醚,例如乙二醇醚(例如,丙二醇甲基醚(PGME)、或丙二醇甲基醚乙酸酯(PGMEA))、以及其组合。
在特定实施方案中,正型显影剂为水性碱性显影剂(例如,包括NH4OH、TMAH、TEAH、TPAH或TBAH)。在其他实施方案中,负型显影剂为水性酸性显影剂、在有机溶剂中的酸性显影剂、或有机显影剂(例如,HCl、HBr、甲酸、三氟乙酸、2-庚酮、IPA、PGME、PGMEA或其组合)。
涂敷后工艺
本文的方法可包括任何有用的涂敷后工艺,如下所述。
对于背侧和斜面清洁工艺,可将蒸气和/或等离子体限制于晶片的特定区域,以确保仅去除背侧和斜面,而晶片的前侧上不具有任何膜降解。去除的沉积EUV光致抗蚀剂膜一般由Sn、O和C所构成,但相同的清洁方法可扩展至其他金属氧化物抗蚀剂和材料的膜。此外,该方法还可用于膜剥离和PR重加工。
用于干式斜面和背侧清洁的合适工艺条件可以是100sccm至500sccm的反应物流量(例如,500sccm HCl、HBr、或H2与Cl2或Br2、BCl3或H2)、-10℃至120℃(例如,20℃)的温度、20毫托至500毫托(例如,300毫托)的压强、高频下(例如,13.56MHz)的0至500W等离子体功率、持续约10秒至20秒时间,具体取决于光致抗蚀剂膜以及组成与性质。应当理解,尽管这些条件适用于一些处理反应器,例如可从Lam Research Corporation(Fremont,CA)获得的Kiyo蚀刻工具,但根据处理反应器的能力可使用更宽范围的工艺条件。
光刻工艺通常涉及一个或多个烘烤步骤,以促进在光致抗蚀剂的暴露和未暴露区域之间产生化学对比度所需的化学反应。对于大批量制造(HVM),这种烘烤步骤通常在轨道上执行,其中晶片在环境空气或在一些情况下向在N2流中以预设温度在热板上烘烤。在这些烘烤步骤期间更仔细地控制烘烤环境并在环境中引入额外的反应性气体组分可以帮助进一步降低剂量要求和/或改进图案保真度。
根据本公开的各个方面,在沉积(例如,涂敷后烘烤(PAB))和/或暴露(例如,暴露后烘烤(PEB))和/或在显影之后(例如显影后烘烤(PDB))之后对基于金属和/或金属氧化物的光致抗蚀剂的一种或多种后处理能够增加暴露和未暴露光致抗蚀剂之间的材料特性差异,并因此在随后的干式显影后降低剂量尺寸比(DtS)、改进PR轮廓并改进线边缘和宽度粗糙度(LER/LWR)。
在涂敷后处理(例如,PAB)的示例中,可在沉积后且暴露前使用控制温度、气体环境(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或其混合物)或真空下、以及水分的热工艺,以改变未暴露金属和/或金属氧化物光致抗蚀剂的组成。该改变可提高材料的EUV敏感性,并因此可在暴露且显影后实现相对于尺寸和边缘粗糙度的较低的剂量。
在暴露后处理(例如,PEB)的示例中,可利用控制温度、气体环境(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或其混合物)或真空下、以及水分的热工艺,以改变未暴露和暴露光致抗蚀剂两者的组成。该改变可提高未暴露与暴露光致抗蚀剂之间的组成/材料性质差异以及未暴露与暴露光致抗蚀剂之间的显影速率差异。因而可实现更高的显影选择性。由于改善选择性,因此可获得具有改善的表面粗糙度和/或较少光致抗蚀剂残留/浮渣的更方形的PR轮廓。在特定实施方案中,可在空气中以及在水分和CO2的选择性存在下执行PEB。
在显影后处理(例如,显影后烘烤或PDB)的示例中,可利用控制温度、气体环境(例如,空气、H2O、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、Ar、He或其混合物)或真空下(例如,有UV)、以及水分的热工艺,以改变未暴露光致抗蚀剂的组成。在特定实施方案中,该条件还包括使用等离子体(例如,包括O2、O3、Ar、He或其混合物)。该改变可增强材料的硬度,如果在蚀刻下伏的衬底时将使用该膜作为抗蚀剂掩模,则其可能是有利的。
在这些示例中,在可替代的实现方案中,热工艺可被远程等离子体工艺代替来增加反应性物质,以降低反应的能垒并提高生产率。远程等离子体可产生更多反应性自由基,因而降低用于处理的反应温度/时间,从而提高生产率。
因此,可应用一或多个工艺来使光致抗蚀剂本身改性以增加显影选择性。例如,热或自由基改性可增加未暴露与暴露材料之间的对比,因而增加后续显影步骤的选择性。
不希望受到机制的限制,湿式显影可以依赖于材料溶解度,其中例如加热至220℃或超过220℃会大幅增加含金属PR膜的暴露与未暴露区域两者中的交联度,使得两者均变成不溶于湿式显影溶剂,使得该膜不能再可靠地进行湿式显影。例如,对于经湿式旋涂或经湿式显影的含金属PR膜而言,可执行例如PAB、PEB之类的烘烤,例如在低于180℃、或低于200℃、或低于250℃的温度下进行。PAB、PEB或PDB中的处理温度可在窗内变化,以对处理工艺进行调节和优化,(例如对于PAB、PEB和/或PDB)为约90℃至250℃,如90℃至190℃,90℃至600℃,100℃至400℃,125℃至300℃,以及约170℃至250℃或更高,例如190℃至240℃。已发现减小蚀刻速率且较大蚀刻选择性发生于所述范围内的较高处理温度下。
在特定实施方案中,PAB、PEB和/或PDB处理可在气体环境流量为100sccm至10000sccm范围内、水分含量为百分之几至100%(例如,20%-50%)、压强介于大气压与真空之间、且持续时间约1至15分钟(例如,约2分钟)下执行。
这些发现可用于调节处理条件,以定制用于特定材料和情况的处理或对其优化。例如,对于给定的EUV剂量,在空气中约20%湿度下进行220℃至250℃的PEB热处理约2分钟所能实现的选择性,可类似于高约30%的EUV剂量而无此等热处理所实现的选择性。因此,取决于半导体处理操作的选择性要求/限制,可使用例如本文所述的热处理以降低所需的EUV剂量。或者,如果需更高的选择性且可容许更高的剂量,则可获得比湿式显影背景下可能实现的还要高得多的选择性,其暴露比未暴露高达100倍。
其他步骤可包括原位测量,在原位测量中可在光刻工艺期间评估物理和结构特性(例如,关键尺寸、膜厚度等)。用以实行原位测量的模块包括,例如,散射测量、椭圆测量、下游质量光谱、和/或等离子体增强的下游光学发射光谱模块。
装置
本发明还包括配置成执行本文所述的任何方法的任何装置。在一实施方案中,用于沉积膜的装置包括沉积模块,该沉积模块包括通过在相对反应物的可选的存在下提供金属前体而将EUV敏感材料沉积为膜的室;图案化模块,其包括具有小于30nm波长辐射源的EUV光刻工具;以及显影模块,其包括用于在金属螯合剂存在的情况下对膜进行显影的室。
该装置可进一步包括具有用于这种模块的指令的控制器。在一实施方案中,控制器包括一个或更多存储器设备、一个或更多处理器、以及利用用于执行膜的沉积的指令编码的系统控制软件。这种包含可以包括在沉积模块中用于沉积金属前体作为在衬底的顶表面上的膜或者光致抗蚀剂层;在图案化模块中,直接通过EUV暴露以小于30nm的分辨率对膜进行图案化,从而在膜内形成图案;以及在显影模块中,在金属螯合剂存在的情况下对该膜进行显影。在特定实施方案中,显影模块提供用于去除EUV暴露或EUV未暴露区域,从而在膜内提供图案。
图4描绘了多站式处理工具400的实施方案,例如可购自Lam ResearchCorporation(Fremont,CA)的
Figure BDA0004113755000000521
处理工具。处理站可配置为集群工具中的模块。图6描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,其适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(EUV扫描机)、抗蚀剂显影以及蚀刻模块,如本文参照图5及6描述的。
在一些实施方案中,一些处理功能可在同一模块中连续地执行。并且本公开内容的实施方案涉及方法和装置,其用于在EUV扫描机中进行光图案化之后,接收晶片(包括配置在待蚀刻层或层堆叠件上的已光图案化的EUV抗蚀剂薄膜层)至显影/蚀刻室(例如湿式显影/蚀刻室);显影已光图案化的EUV抗蚀剂薄膜层;接着使用已图案化的EUV抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
湿式显影室可以是用于将显影剂提供至暴露膜或衬底中的任何一者。在一情况下,该室于其内可含有湿式显影剂,且暴露膜或衬底被浸入湿式显影剂内(例如在浸入显影中)。在另一情况下,该室可包含一个或更多喷头、喷雾器、喷嘴、分配器、以及类似物,以将湿式显影剂输送至暴露膜或衬底(例如在喷涂显影中)。
接着,衬底可被提供于该室内并且被配置在基座上。例如,衬底可位于分配器下方并且放置在基座上。在一些实施方案中,基座可被升高或降低,以将衬底暴露于在衬底与喷头之间的容积。此外,基座可在湿式显影剂输送期间被旋转。因此,在一些实施方案中,基座可包含用于旋转衬底的旋转轴。应明白,在一些实施方案中,可通过一个或更多合适的计算机控制器,以程序化方式来执行这些示例性调整中的一者或更多。
如上所述,一个或更多个处理站可以包含在多站处理工具中。图4示出了多站式处理工具400的实施方案的概要视图,其具有入站装载锁402和出站装载锁404,入站装载锁402和出站装载锁404的一者或者两者可以包含远程等离子体源。处于大气压的机械手406被配置为将晶片从通过舱408装载的盒经由大气端口410移动至入站装载锁402内。晶片由机械手406放置在入站装载锁402中的基座412上,关闭大气端口410,且抽空装载锁。当入站装载锁402包含远程等离子体源时,晶片在被引入处理室414之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁402中加热,例如以移除湿气和吸附的气体。接下来,通向处理室414的室传输端口416被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图4中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室414包含4个处理站,图4所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为418)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。尽管绘出的处理室414包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有4个或4个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图4描绘了用于在处理室414内传输晶片的晶片搬运系统490的一些实施方案。在一些实施方案中,晶片搬运系统490可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图4还绘出了采用来控制处理工具400的处理条件和硬件状态的系统控制器450的实施方案。系统控制器450可以包含一个或多个存储器设备456、一个或多个海量存储设备454和一个或多个处理器452。处理器452可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器450控制处理工具500的所有活动。系统控制器450执行存储在海量存储设备454、载入存储器设备456、并由处理器452执行的系统控制软件458。可替代地,控制逻辑可以在控制器450中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,都可以使用功能上相当的硬编码的逻辑来取代。系统控制软件458可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具400执行的特定工艺的其它参数的指令。系统控制软件458可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具工艺的处理工具组件的操作。系统控制软件458可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件458可以包含用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方案中可以采用与系统控制器450关联的、存储在海量存储设备454和/或存储器设备456的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座418上,并控制衬底和处理工具400的其它部分之间的间隔。
工艺气体控制程序可包含用于控制各种气体组成(例如,如本文所述的HBr或HCl气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器450相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如点击设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器450调节的参数会涉及工艺条件。非限制性实例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控工艺的信号可以由系统控制器450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制工艺的信号可以通过处理工具400的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器450可以提供用于执行上述沉积工艺的程序指令。所述程序指令可以控制多种工艺参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作显影和/或蚀刻工艺。
系统控制器450将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的工艺操作的指令的机器可读介质可以耦合到系统控制器450。
在一些实现方式中,系统控制器450是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种组件或子部件。根据处理条件和/或系统的类型,系统控制器450可以被编程以控制本文公开的任何工艺,包含控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器450可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器450的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器450可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器450可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器450接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器450被配置成连接或控制该工具类型。因此,如上所述,系统控制器450可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与接合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例性的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器450可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
现在描述感应耦合式等离子体(ICP)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻操作适用于某些实施方案的实施。虽然本文描述了ICP反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
图5概要地显示感应耦合式等离子体装置500的横截面图,其适合实行某些实施方案或实施方案的方面(例如蚀刻),该装置的示例为由Lam Research Corp.,Fremont,CA所生产的
Figure BDA0004113755000000581
反应器。在其他实施方案中,可使用具有执行本文所述的蚀刻工艺的功能的其他工具或工具类型以供实施。
感应耦合式等离子体装置500包括整体处理室524,其在结构上由室壁501和窗511限定。室壁501可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将总处理室分为上副室502和下副室503。在大多数的实施方案中,等离子体栅格550可以被移除,从而利用由副室502和503两者构成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶面在同一平面的上表面。卡盘517还包括用于夹紧和松开晶片619的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。
也可以提供其他的控制系统用于提升晶片519使其离开卡盘517。卡盘517可以用RF电源523充电。RF电源523通过连接件527被连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,RF电源523被连接到卡盘517上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50V,或取决于依据所公开的实施方案所执行的工艺而设定为不同的偏压电源。例如,偏压电源可在约20V与约100V之间、或在约30V与约150V之间。
用于等离子体产生的元件包括位于窗511上方的线圈533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的线圈533的示例包括三匝。线圈533的横截面用符号示出,具有“X”符号的线圈旋转地延伸到页面内,相反,具有“●”符号的线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈533的RF电源541。一般地,RF电源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF电源541被连接到线圈533。任选的法拉第屏蔽件549被定位在线圈533和窗511之间。法拉第屏蔽件549可以以相对于线圈533成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件549被设置在窗511的正上方。在一些实施方案中,法拉第屏蔽件在窗511与卡盘517之间。在一些实施方案中,法拉第屏蔽件与线圈533并非维持相隔开的关系。例如,法拉第屏蔽件可在窗511正下方而没有间隙。线圈533、法拉第屏蔽件549、以及窗511各自被配置为彼此基本上平行。法拉第屏蔽件549可防止金属或其它物质沉积于处理室的窗511上。
工艺气体可以通过位于上副室502中的一个或多个主气体流入口560和/或通过一个或多个侧气体流入口570流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵540,可用于将工艺气体从处理室抽出并维持处理室内的压强。例如,该真空泵可用于在ALD清扫操作过程中排空下副室503。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置500的操作过程中,一种或多种工艺气体可通过气体流入口560和/或570供给。在某些实施方案中,工艺气体可以仅通过主气体流入口560供给,或者仅通过侧气体流入口570供给。在一些实例中,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使工艺气体能输送至室的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体就通过气体流入口560和/或570引入到室中。
将射频功率从RF电源541供给到线圈533以使RF电流流过线圈533。流过线圈533的RF电流产生围绕线圈533的电磁场。该电磁场产生在上副室502内的感应电流。所生成的各离子和自由基与晶片519的物理和化学相互作用蚀刻晶片619的特征并且选择性地在晶片519上沉积层。
如果使用等离子体栅格550使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的量。在一些实施方案中,设计和操作所述装置500使得存在于下副室503中的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口522从下副室503去除。本文所公开的卡盘517可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
装置500当安装在超净室或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置500。此外,装置500可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出装置500。
在一些实施方案中,系统控制器530(其可以包括一个或多个物理或逻辑控制器)控制处理室的操作中的一些或全部。系统控制器530可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置500包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该装置500可具有高达约600ms或高达约750ms的切换时间。切换时间可以取决于流动化学品组成、配方选择、反应器架构以及其他因素。
在一些实现方式中,系统控制器530是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到控制器530中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器530可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器530可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的工艺。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器530接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器530可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、湿式光刻室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、集群工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
EUVL图案化可利用任何合适的工具实施,其通常被称为扫描仪,例如由ASML(Veldhoven,NL)所提供的TWINSCAN NXE:
Figure BDA0004113755000000621
平台。EUVL图案化工具可以是独立的设备,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。替代地,如下所述,EUVL图案化工具可以是在较大的多部件工具上的模块。图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、EUV图案化、及显影蚀刻模块,其适用于实施本文所述的工艺。虽然可在缺少这样的真空整合装置的情况下实施这些工艺,但这样的装置在某些实现方案中可能是有利的。
图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,其适用于进行本文所述的工艺。用于在多个储存装置与处理模块之间“传送”晶片的传送模块的配置可称为“集群工具架构”系统。根据特定工艺的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
真空传送模块(VTM)638与四个处理模块620a-620d对接,其可各自进行优化以执行各种制造工艺。作为一示例,处理模块620a-620d可用于执行沉积、蒸发、ELD、显影、蚀刻、剥离、和/或其它半导体工艺。例如,模块620a可以是ALD反应器,其可操作以在本文所述的非等离子体的热原子层沉积中执行,例如可购自Lam Research Corporation(Fremont,CA)的Vector工具。并且模块620b可以是PEALD工具(例如Lam
Figure BDA0004113755000000631
)。应理解,图未必按比例绘制。
气锁642及646(也称为装载锁或传送模块)与VTM 638以及图案化模块640对接。例如,如上所述,合适的图案化模块可为由ASML(Veldhoven,NL)提供的TWINSCAN NXE:
Figure BDA0004113755000000632
平台。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如H2O、O2等)对于入射光子的强烈光学吸收性,EUVL还需要大幅降低的压力。
如上所述,该整合架构仅为用于实行所述工艺的工具的一可能实施方案。这些工艺的实施也可使用独立的EUVL扫描机以及沉积反应器(例如Lam Vector工具)作为模块,其为独立的或与其它工具(例如蚀刻、剥离等(例如Lam Kiyo或Gamma工具))一同整合于集群架构中,例如参考图6所述的(但没有整合的图案化模块)。
气锁642可以是“输出”装载锁,其是指将衬底从供沉积模块620a使用的VTM 638传出至图案化模块640,而气锁646可以是“输入”装载锁,其是指将衬底从图案化模块640传送回VTM 638。输入装载锁646也可作为至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至VTM 638的小面(facet)。例如,沉积处理模块620a具有小面636。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片626在相应的站与站之间移动时,检测晶片的通过。图案化模块640及气锁642、646可类似地装配有额外的小面以及传感器(未显示)。
主要VTM机械手622在模块(包括气锁642及646)之间传送晶片626。在一实施方案中,机械手622具有一个臂,而在另一实施方案中,机械手622具有两个臂,其中每一个臂具有末端效应器624以拾取晶片(例如晶片626)而进行输送。前端机械手644用于将晶片626从输出气锁642传送至图案化模块640中、从图案化模块640传送至输入气锁646中。前端机械手644也可在输入装载锁与工具外部之间输送晶片626,以用于衬底的进出。由于输入气锁模块646能够匹配在大气与真空之间的环境,所以晶片626能在这两个压力环境之间移动而不会受损。
应当注意,相比于沉积工具,EUVL工具通常在较高的真空下操作。如果情况是如此,则期望在由沉积传送至EUVL工具期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁642可提供此功能,通过将所传送的晶片维持在较低压力(不高于图案化模块640中的压力)一段时间并抽空任何离去气体(off-gassing),使得图案化工具640的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压力不超过1E-8托。
在一些实施方案中,系统控制器650(其可包括一个或更多实体或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。系统控制器650可包括一或更多存储器设备以及一或更多处理器。处理器可包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似部件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器设备上、或可通过网络而提供。在某些实施方案中,系统控制器执行系统控制软件。
系统控制软件可包括用于控制任何工具或模块操作的方面的应用与规模的时序的指令。系统控制软件可以任何适当的方式配置。例如,可编写各种处理工具部件子程序或控制对象,以控制实施各种处理工具工艺所需的处理工具部件的操作。系统控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,系统控制软件包括输入输出控制(IOC)序列指令,以控制上述的各种参数。例如,半导体制造工艺的每一阶段可包括由系统控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的工艺条件的指令可包括在相对应的配方阶段中。
在多种实施方案中,提供用于形成负形图案掩模的装置。该装置可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负形图案掩模的指令的控制器。指令可包括用于,在处理室中,执行下列处理的程序代码:通过EUV暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(CAR)中的特征;使已光图案化的抗蚀剂进行显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。
结论
尽管为了清楚理解的目的已经对前述实施方案进行了一些详细的描述,但显然可以在所附权利要求的范围内实践某些改变和修改方案。可以在没有这些具体细节中的一些或全部的情况下实践本文公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地使所公开的实施方案难以理解。此外,虽然将接合具体实施方案来描述所公开的实施方案,但应当理解,具体实施方案并不旨在限制所公开的实施方案。应该注意,有许多替代方式来实现所呈现的实施方案的工艺、系统和装置。因此,所呈现的实施方案被认为是说明性的而非限制性的,并且实施方案不受限于本文给出的细节。

Claims (36)

1.一种方法,其包含:
提供具有界面区域的辐射图案化膜,所述界面区域配置在辐射暴露区域与辐射未暴露区域之间或配置在辐射暴露区域之内,其中所述界面区域包含辐射暴露金属中心;以及
在金属螯合剂存在的情况下,对所述辐射图案化膜进行显影,其中所述金属螯合剂被配置成接合至所述界面区域的所述辐射暴露金属中心。
2.根据权利要求1所述的方法,其中所述辐射图案化膜包含极紫外光(EUV)敏感性膜。
3.根据权利要求2所述的方法,其中所述界面区域包含转变区域,所述转变区域配置在至少一个EUV暴露区域与至少一个EUV未暴露区域之间。
4.根据权利要求2所述的方法,其中所述显影还包含移除所述界面区域。
5.根据权利要求2所述的方法,其中所述显影还包含使用相较于所述辐射未暴露区域优先移除所述辐射暴露区域的溶剂或溶剂混合物。
6.根据权利要求5所述的方法,其中所述金属螯合剂能溶于所述溶剂或所述溶剂混合物中。
7.根据权利要求2所述的方法,其中相较于存在于所述辐射未暴露区域中的金属中心,所述金属螯合剂优先接合至所述界面区域的所述辐射暴露金属中心。
8.根据权利要求2至7中所述的方法,其中所述金属螯合剂包含双羰基、二元醇、羧酸、二酸、三酸、羟基羧酸、异羟肟酸、羟基内酯、羟基酮、或其盐类。
9.根据权利要求8所述的方法,其中所述金属螯合剂包含甲酸、柠檬酸、乙酰丙酮、水杨酸、邻苯二酚、或抗坏血酸。
10.根据权利要求8所述的方法,其中所述双羰基为1,3-二酮。
11.根据权利要求8所述的方法,其中所述羧酸包含RA1-CO2H,其中,RA1为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羟芳基、任选经取代的羧烷基、任选经取代的羧芳基、或任选经取代的芳基。
12.根据权利要求8所述的方法,其中所述异羟肟酸包含RA1-C(O)NRA2OH,其中,RA1与RA2中的每一者独立地为H、任选经取代的烷基、或任选经取代的芳基。
13.根据权利要求8所述的方法,其中所述羟基酮包含羟基吡啶酮、羟基嘧啶酮、或羟基吡喃酮。
14.根据权利要求8所述的方法,其中所述羟基酮包含化学式(I)、(II)、或(III)的结构:
Figure FDA0004113754990000021
或其盐类,其中:
X1与X2中的每一者独立地为-CR1=或-N=;以及
R1与R2各自独立地为H、任选经取代的烷基、任选经取代的羟烷基、任选经取代的羧烷基、-C(O)NRN1RN2、或-C(O)ORO1,其中RN1、RN2、以及RO1中的每一者独立地为H、任选经取代的烷基、或任选经取代的烷基,其中任选地,RN1与RN2当接接合在一起时形成任选经取代的杂环基;以及
R3独立地为H、任选经取代的烷基、或任选经取代的芳基。
15.根据权利要求2至7中所述的方法,其中所述金属螯合剂包含配置在主链上的多个成分,且其中所述多个成分选自于由羟基、羧基、酰胺基、氨基、以及氧代基所组成的群组。
16.根据权利要求15所述的方法,其中所述多个成分包含双羰基、二元醇、羧酸、二酸、三酸、羟基羧酸、异羟肟酸、羟基内酯、羟基酮、或其盐类的单价或多价形式。
17.根据权利要求2所述的方法,其中所述辐射暴露金属中心包含过渡金属。
18.根据权利要求2所述的方法,其中所述辐射暴露金属中心包含锡(Sn)、碲(Te)、铋(Bi)、锑(Sb)、或钽(Ta)。
19.根据权利要求2所述的方法,其中所述辐射图案化膜包含金属氧化物膜或有机金属氧化物膜。
20.根据权利要求19所述的方法,其中所述辐射图案化膜由金属前体所形成,所述金属前体包含具有化学式(IV)的结构:
MaRb(IV),
其中:
M是金属;
各R独立地为H、卤基、任选经取代的烷基、任选经取代的环烷基、任选经取代的环烯基、任选经取代的烯基、任选经取代的炔基、任选经取代的烷氧基、任选经取代的烷酰氧基、任选经取代的芳基、任选经取代的氨基、任选经取代的双(三烷基甲硅烷基)氨基、任选经取代的三烷基甲硅烷基、氧代基、阴离子配体、中性配体、或多齿配体;
a≥1;且b≥1。
21.根据权利要求20所述的方法,其中M为锡(Sn)、碲(Te)、铋(Bi)、锑(Sb)、钽(Ta)、铯(Cs)、铟(In)、钼(Mo)、或铪(Hf)。
22.根据权利要求2所述的方法,其中所述显影还包含在两或更多不同金属螯合剂存在的情况下进行显影。
23.根据权利要求1所述的方法,其还包含:
在提供所述辐射图案化膜之后,在低于180℃的温度下执行暴露后烘烤。
24.根据权利要求1所述的方法,其中提供所述辐射图案化膜还包含:
提供图案化辐射敏感性膜作为抗蚀剂膜;以及
通过图案化辐射暴露来对所述抗蚀剂膜进行图案化,从而提供暴露膜,所述暴露膜具有一个或更多辐射暴露区域、一个或更多辐射未暴露区域、以及界面区域,所述界面区域配置在所述辐射暴露区域中的至少一者与所述辐射未暴露区域中的至少一者之间或配置在辐射暴露区域之内。
25.根据权利要求24所述的方法,其中所述图案化辐射敏感性膜通过旋转涂覆来提供。
26.根据权利要求24所述的方法,其还包含:
在所述图案化之前,在低于180℃的温度下执行涂敷后烘烤。
27.一种使用抗蚀剂的方法,所述方法包含:
在衬底的表面上沉积金属前体,以提供图案化辐射敏感性膜作为抗蚀剂膜;
通过图案化辐射暴露来对所述抗蚀剂膜进行图案化,从而提供暴露膜,所述暴露膜具有一个或更多辐射暴露区域、一个或更多辐射未暴露区域、以及界面区域,所述界面区域配置在所述辐射暴露区域中的至少一者与所述辐射未暴露区域中的至少一者之间或配置在辐射暴露区域之内;以及
在金属螯合剂与溶剂存在的情况下,对所述暴露膜进行显影,从而移除所述界面区域以及所述辐射暴露区域或所述辐射未暴露区域,以在所述抗蚀剂内提供图案。
28.根据权利要求27所述的方法,其中所述图案化辐射敏感性膜包含极紫外光(EUV)敏感性膜。
29.根据权利要求28所述的方法,其中所述图案化辐射暴露包含在真空环境下具有在约10nm到约20nm的范围内的波长的EUV暴露。
30.根据权利要求28所述的方法,其中相较于在不具有所述金属螯合剂的情况下所显影的图案,所述图案包含降低的线边缘粗糙度(LER)。
31.根据权利要求28所述的方法,其中所述金属螯合剂被配置成优先移除所述界面区域,且所述溶剂被配置成优先移除所述辐射暴露区域或所述辐射未暴露区域中的任一者。
32.一种形成抗蚀剂膜的装置,该装置包括:
沉积模块,其包括用于沉积图案化辐射敏感性膜的室;
图案化模块,其包括光刻工具,所述光刻工具具有低于300nm波长辐射的来源;
显影模块,其包括用于显影所述抗蚀剂膜的室;以及
控制器,其包括一个或更多存储器设备、一个或更多处理器和系统控制软件,利用指令编码所述系统控制软件,所述指令包括机器可读指令以用于:
在所述沉积模块中,致使金属前体沉积在半导体衬底的顶表面上,以形成作为抗蚀剂膜的所述图案化辐射敏感膜;
在所述图案化模块中,直接通过图案化的辐射暴露并且利用低于300nm分辨率致使所述抗蚀剂膜图案化,从而形成具有一个或更多辐射暴露区域和一个或更多辐射未暴露区域的已暴露膜;以及界面区域,所述界面区域配置在所述辐射暴露区域中的至少一者与所述辐射未暴露区域中的至少一者之间或配置在辐射暴露区域之内;以及
在所述显影模块中,于金属螯合剂与溶剂存在的情况下,致使对所述暴露膜进行显影,以移除所述界面区域以及所述辐射暴露区域或所述辐射未暴露区域中的至少一者而在所述抗蚀剂膜内提供图案。
33.根据权利要求32所述的装置,其中所述图案化辐射敏感膜包括极紫外线(EUV)敏感膜。
34.根据权利要求33所述的装置,其中所述光刻工具的所述来源是低于30nm波长辐射的来源。
35.根据权利要求34所述的装置,其中包括机器可读指令的所述指令还包括用于以下操作的指令:
在所述图案化模块中,直接通过EUV暴露并且利用低于30nm分辨率致使所述抗蚀剂膜图案化,从而形成所述暴露膜,所述暴露膜具有EUV暴露区域、EUV未暴露区域、以及所述界面区域,所述界面区域配置在至少一个所述EUV暴露区域与所述EUV未暴露区域之间或配置在EUV暴露区域之内。
36.根据权利要求35所述的装置,其中包括机器可读指令的所述指令还包括用于以下操作的指令:
在所述显影模块中,在所述金属螯合剂与所述溶剂存在的情况下,致使对所述暴露膜进行显影,以移除所述界面区域以及所述EUV暴露区域或所述EUV未暴露区域中的至少一者而在所述抗蚀剂膜内提供图案。
CN202180060341.6A 2020-07-17 2021-07-16 用于含金属光致抗蚀剂的显影的金属螯合剂 Pending CN116134383A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705855P 2020-07-17 2020-07-17
US62/705,855 2020-07-17
PCT/US2021/042106 WO2022016126A1 (en) 2020-07-17 2021-07-16 Metal chelators for development of metal-containing photoresist

Publications (1)

Publication Number Publication Date
CN116134383A true CN116134383A (zh) 2023-05-16

Family

ID=79555050

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180060341.6A Pending CN116134383A (zh) 2020-07-17 2021-07-16 用于含金属光致抗蚀剂的显影的金属螯合剂

Country Status (6)

Country Link
US (1) US20230266670A1 (zh)
JP (1) JP2023534962A (zh)
KR (1) KR20230050333A (zh)
CN (1) CN116134383A (zh)
TW (1) TW202219631A (zh)
WO (1) WO2022016126A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20240027912A1 (en) * 2022-07-25 2024-01-25 Applied Materials, Inc. Method to reduce line edge roughness for euv photoresist pattern
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381633B2 (en) * 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
EP3230294B1 (en) * 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JPWO2018061670A1 (ja) * 2016-09-29 2019-06-24 富士フイルム株式会社 処理液、および積層体の処理方法
JP7241486B2 (ja) * 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法

Also Published As

Publication number Publication date
US20230266670A1 (en) 2023-08-24
JP2023534962A (ja) 2023-08-15
WO2022016126A1 (en) 2022-01-20
KR20230050333A (ko) 2023-04-14
TW202219631A (zh) 2022-05-16

Similar Documents

Publication Publication Date Title
KR20220076498A (ko) Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20230288798A1 (en) Photoresists containing tantalum
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
TW202340858A (zh) 混合有機錫氧化物光阻的顯影
KR20230146029A (ko) 양자 효율 포토레지스트 및 이의 방법
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination