US3576755A
(en)
|
1964-09-24 |
1971-04-27 |
American Cyanamid Co |
Photochromism in plastic film containing inorganic materials
|
US3442648A
(en)
|
1965-06-16 |
1969-05-06 |
American Cyanamid Co |
Photographic dodging method
|
US3513010A
(en)
|
1966-07-11 |
1970-05-19 |
Kalvar Corp |
Conversion foil
|
US3529963A
(en)
|
1966-08-23 |
1970-09-22 |
Du Pont |
Image-yielding elements and processes
|
US3720515A
(en)
|
1971-10-20 |
1973-03-13 |
Trw Inc |
Microelectronic circuit production
|
JPS5119974A
(en)
|
1974-08-12 |
1976-02-17 |
Fujitsu Ltd |
Kibanjoheno pataanno sentakukeiseiho
|
US4341592A
(en)
|
1975-08-04 |
1982-07-27 |
Texas Instruments Incorporated |
Method for removing photoresist layer from substrate by ozone treatment
|
US4061829A
(en)
|
1976-04-26 |
1977-12-06 |
Bell Telephone Laboratories, Incorporated |
Negative resist for X-ray and electron beam lithography and method of using same
|
US4292384A
(en)
|
1977-09-30 |
1981-09-29 |
Horizons Research Incorporated |
Gaseous plasma developing and etching process employing low voltage DC generation
|
US4241165A
(en)
|
1978-09-05 |
1980-12-23 |
Motorola, Inc. |
Plasma development process for photoresist
|
US4328298A
(en)
|
1979-06-27 |
1982-05-04 |
The Perkin-Elmer Corporation |
Process for manufacturing lithography masks
|
US4396704A
(en)
|
1981-04-22 |
1983-08-02 |
Bell Telephone Laboratories, Incorporated |
Solid state devices produced by organometallic plasma developed resists
|
JPS58108744A
(ja)
|
1981-12-23 |
1983-06-28 |
Mitsubishi Electric Corp |
集積回路の製造方法
|
JPS6074626A
(ja)
|
1983-09-30 |
1985-04-26 |
Fujitsu Ltd |
ウエハー処理方法及び装置
|
JPS60115222A
(ja)
|
1983-11-28 |
1985-06-21 |
Tokyo Ohka Kogyo Co Ltd |
微細パタ−ン形成方法
|
JPS6112653U
(ja)
|
1984-06-25 |
1986-01-24 |
日本電気株式会社 |
バキユ−ムチヤツク
|
JPS62160981A
(ja)
|
1986-01-08 |
1987-07-16 |
Mitsubishi Heavy Ind Ltd |
石油タンカ−の改造法
|
JPS61234035A
(ja)
|
1985-03-29 |
1986-10-18 |
Fujitsu Ltd |
遠紫外線照射ドライ現像方法
|
JPH0778629B2
(ja)
|
1986-12-19 |
1995-08-23 |
ミノルタ株式会社 |
ポジ型レジスト膜及びそのレジストパターンの形成方法
|
US5077085A
(en)
|
1987-03-06 |
1991-12-31 |
Schnur Joel M |
High resolution metal patterning of ultra-thin films on solid substrates
|
US4824763A
(en)
|
1987-07-30 |
1989-04-25 |
Ekc Technology, Inc. |
Triamine positive photoresist stripping composition and prebaking process
|
US4814243A
(en)
|
1987-09-08 |
1989-03-21 |
American Telephone And Telegraph Company |
Thermal processing of photoresist materials
|
US4834834A
(en)
|
1987-11-20 |
1989-05-30 |
Massachusetts Institute Of Technology |
Laser photochemical etching using surface halogenation
|
US4845053A
(en)
|
1988-01-25 |
1989-07-04 |
John Zajac |
Flame ashing process for stripping photoresist
|
KR920004176B1
(ko)
|
1988-03-16 |
1992-05-30 |
후지쓰 가부시끼가이샤 |
레지스트 패턴 형성 공정
|
US4940854A
(en)
|
1988-07-13 |
1990-07-10 |
Minnesota Mining And Manufacturing Company |
Organic thin film controlled molecular epitaxy
|
US5094936A
(en)
|
1988-09-16 |
1992-03-10 |
Texas Instruments Incorporated |
High pressure photoresist silylation process and apparatus
|
JPH04226462A
(ja)
|
1990-06-29 |
1992-08-17 |
Fujitsu Ltd |
レジスト材料およびそれを用いるレジストパターンの形成方法
|
DE69130594T2
(de)
|
1990-06-29 |
1999-05-06 |
Fujitsu Ltd., Kawasaki, Kanagawa |
Verfahren zur Erzeugung eines Musters
|
US5240554A
(en)
|
1991-01-22 |
1993-08-31 |
Kabushiki Kaisha Toshiba |
Method of manufacturing semiconductor device
|
US5322765A
(en)
|
1991-11-22 |
1994-06-21 |
International Business Machines Corporation |
Dry developable photoresist compositions and method for use thereof
|
US6013418A
(en)
|
1992-04-29 |
2000-01-11 |
Lucent Technologies Inc. |
Method for developing images in energy sensitive materials
|
GEP20002074B
(en)
|
1992-05-19 |
2000-05-10 |
Westaim Tech Inc Ca |
Modified Material and Method for its Production
|
JPH0637050A
(ja)
|
1992-07-14 |
1994-02-10 |
Oki Electric Ind Co Ltd |
半導体ウエハのドライエッチング装置
|
JP2601112B2
(ja)
|
1992-11-30 |
1997-04-16 |
日本電気株式会社 |
半導体装置の製造方法
|
JPH06232041A
(ja)
|
1993-02-05 |
1994-08-19 |
Hitachi Ltd |
パターン形成方法
|
KR960010727B1
(ko)
|
1993-06-03 |
1996-08-07 |
현대전자산업 주식회사 |
반도체 제조용 포토레지스트 제거방법
|
EP0635884A1
(de)
|
1993-07-13 |
1995-01-25 |
Siemens Aktiengesellschaft |
Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
|
TW276353B
(ko)
|
1993-07-15 |
1996-05-21 |
Hitachi Seisakusyo Kk |
|
JPH07106224A
(ja)
|
1993-10-01 |
1995-04-21 |
Hitachi Ltd |
パターン形成方法
|
JP3309095B2
(ja)
|
1994-08-30 |
2002-07-29 |
株式会社日立製作所 |
ドライ現像方法及び半導体装置の製造方法
|
US5534312A
(en)
|
1994-11-14 |
1996-07-09 |
Simon Fraser University |
Method for directly depositing metal containing patterned films
|
JP3258199B2
(ja)
|
1995-05-24 |
2002-02-18 |
沖電気工業株式会社 |
半導体装置のパターン形成方法
|
JPH08339950A
(ja)
|
1995-06-09 |
1996-12-24 |
Sony Corp |
フォトレジストパターン形成方法及びフォトレジスト処理装置
|
US6007963A
(en)
|
1995-09-21 |
1999-12-28 |
Sandia Corporation |
Method for extreme ultraviolet lithography
|
US20020031920A1
(en)
|
1996-01-16 |
2002-03-14 |
Lyding Joseph W. |
Deuterium treatment of semiconductor devices
|
US5925494A
(en)
|
1996-02-16 |
1999-07-20 |
Massachusetts Institute Of Technology |
Vapor deposition of polymer films for photolithography
|
US5761023A
(en)
|
1996-04-25 |
1998-06-02 |
Applied Materials, Inc. |
Substrate support with pressure zones having reduced contact area and temperature feedback
|
US6313035B1
(en)
|
1996-05-31 |
2001-11-06 |
Micron Technology, Inc. |
Chemical vapor deposition using organometallic precursors
|
JPH1041206A
(ja)
|
1996-07-19 |
1998-02-13 |
Toshiba Corp |
半導体処理装置および処理方法
|
US5914278A
(en)
|
1997-01-23 |
1999-06-22 |
Gasonics International |
Backside etch process chamber and method
|
JPH10209133A
(ja)
|
1997-01-28 |
1998-08-07 |
Toshiba Corp |
プラズマ灰化装置およびプラズマ灰化方法
|
US6261938B1
(en)
|
1997-02-12 |
2001-07-17 |
Quantiscript, Inc. |
Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
|
US6149828A
(en)
|
1997-05-05 |
2000-11-21 |
Micron Technology, Inc. |
Supercritical etching compositions and method of using same
|
US6045877A
(en)
|
1997-07-28 |
2000-04-04 |
Massachusetts Institute Of Technology |
Pyrolytic chemical vapor deposition of silicone films
|
US6057587A
(en)
|
1997-08-28 |
2000-05-02 |
Vlsi Technology, Inc. |
Semiconductor device with anti-reflective structure
|
KR100265766B1
(ko)
|
1997-09-04 |
2000-09-15 |
윤종용 |
반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
|
US6290779B1
(en)
|
1998-06-12 |
2001-09-18 |
Tokyo Electron Limited |
Systems and methods for dry cleaning process chambers
|
US6348239B1
(en)
|
2000-04-28 |
2002-02-19 |
Simon Fraser University |
Method for depositing metal and metal oxide films and patterned films
|
WO2000003058A1
(en)
|
1998-07-10 |
2000-01-20 |
Ball Semiconductor, Inc. |
Cvd photo resist and deposition
|
WO2000015868A1
(en)
|
1998-09-16 |
2000-03-23 |
Torrex Equipment Corporation |
High rate silicon deposition method at low pressures
|
JP2000305273A
(ja)
|
1998-11-19 |
2000-11-02 |
Applied Materials Inc |
遠紫外線ドライフォトリソグラフィー
|
KR100520670B1
(ko)
|
1999-05-06 |
2005-10-10 |
주식회사 하이닉스반도체 |
포토레지스트 패턴의 형성방법
|
JP2000356857A
(ja)
|
1999-06-15 |
2000-12-26 |
Toshiba Electronic Engineering Corp |
パターン形成装置
|
US6582891B1
(en)
|
1999-12-02 |
2003-06-24 |
Axcelis Technologies, Inc. |
Process for reducing edge roughness in patterned photoresist
|
US20010024769A1
(en)
|
2000-02-08 |
2001-09-27 |
Kevin Donoghue |
Method for removing photoresist and residues from semiconductor device surfaces
|
US6573030B1
(en)
|
2000-02-17 |
2003-06-03 |
Applied Materials, Inc. |
Method for depositing an amorphous carbon layer
|
US20060001064A1
(en)
|
2000-04-28 |
2006-01-05 |
Hill Ross H |
Methods for the lithographic deposition of ferroelectric materials
|
US20040191423A1
(en)
|
2000-04-28 |
2004-09-30 |
Ruan Hai Xiong |
Methods for the deposition of silver and silver oxide films and patterned films
|
KR100406174B1
(ko)
|
2000-06-15 |
2003-11-19 |
주식회사 하이닉스반도체 |
화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
|
WO2002020864A2
(en)
|
2000-06-16 |
2002-03-14 |
Applied Materials, Inc. |
System and method for depositing high dielectric constant materials and compatible conductive materials
|
KR100620651B1
(ko)
|
2000-06-22 |
2006-09-13 |
주식회사 하이닉스반도체 |
반도체 소자의 미세패턴 제조방법
|
JP2002015971A
(ja)
|
2000-06-27 |
2002-01-18 |
Matsushita Electric Ind Co Ltd |
パターン形成方法及び半導体装置の製造装置
|
KR100398312B1
(ko)
|
2000-06-30 |
2003-09-19 |
한국과학기술원 |
유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
|
JP2002134402A
(ja)
|
2000-08-15 |
2002-05-10 |
Tokyo Electron Ltd |
基板処理方法及び基板処理装置
|
US6645677B1
(en)
|
2000-09-18 |
2003-11-11 |
Micronic Laser Systems Ab |
Dual layer reticle blank and manufacturing process
|
JP2002100558A
(ja)
|
2000-09-26 |
2002-04-05 |
Nikon Corp |
厚膜レジスト塗布方法
|
JP2002118096A
(ja)
|
2000-10-06 |
2002-04-19 |
Sony Corp |
アッシング装置
|
US6368924B1
(en)
|
2000-10-31 |
2002-04-09 |
Motorola, Inc. |
Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
|
JP4631011B2
(ja)
|
2000-12-28 |
2011-02-16 |
日産化学工業株式会社 |
導電性酸化スズ膜のパターニング方法
|
US6596641B2
(en)
|
2001-03-01 |
2003-07-22 |
Micron Technology, Inc. |
Chemical vapor deposition methods
|
US6797439B1
(en)
|
2001-03-30 |
2004-09-28 |
Schott Lithotec Ag |
Photomask with back-side anti-reflective layer and method of manufacture
|
US6686132B2
(en)
|
2001-04-20 |
2004-02-03 |
The Regents Of The University Of California |
Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
|
US6933673B2
(en)
|
2001-04-27 |
2005-08-23 |
Semiconductor Energy Laboratory Co., Ltd. |
Luminescent device and process of manufacturing the same
|
US20020185067A1
(en)
|
2001-06-07 |
2002-12-12 |
International Business Machines Corporation |
Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
|
US6926957B2
(en)
|
2001-06-29 |
2005-08-09 |
3M Innovative Properties Company |
Water-based ink-receptive coating
|
US6448097B1
(en)
|
2001-07-23 |
2002-09-10 |
Advanced Micro Devices Inc. |
Measure fluorescence from chemical released during trim etch
|
DE10138105A1
(de)
|
2001-08-03 |
2003-02-27 |
Infineon Technologies Ag |
Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
|
JP2003213001A
(ja)
|
2001-11-13 |
2003-07-30 |
Sekisui Chem Co Ltd |
光反応性組成物
|
JP2003280155A
(ja)
|
2002-03-22 |
2003-10-02 |
Fuji Photo Film Co Ltd |
自動現像装置
|
US6843858B2
(en)
|
2002-04-02 |
2005-01-18 |
Applied Materials, Inc. |
Method of cleaning a semiconductor processing chamber
|
JP3806702B2
(ja)
|
2002-04-11 |
2006-08-09 |
Hoya株式会社 |
反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
|
EP2317383A3
(en)
|
2002-04-11 |
2011-12-28 |
HOYA Corporation |
Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
|
US7169440B2
(en)
|
2002-04-16 |
2007-01-30 |
Tokyo Electron Limited |
Method for removing photoresist and etch residues
|
DE10219173A1
(de)
|
2002-04-30 |
2003-11-20 |
Philips Intellectual Property |
Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
|
US6841943B2
(en)
|
2002-06-27 |
2005-01-11 |
Lam Research Corp. |
Plasma processor with electrode simultaneously responsive to plural frequencies
|
US20050142885A1
(en)
|
2002-08-30 |
2005-06-30 |
Tokyo Electron Limited |
Method of etching and etching apparatus
|
JP2006504136A
(ja)
|
2002-10-21 |
2006-02-02 |
ナノインク インコーポレーティッド |
ナノメートル・スケール設計構造、その製造方法および装置、マスク修復、強化、および製造への適用
|
US6624127B1
(en)
|
2002-11-15 |
2003-09-23 |
Intel Corporation |
Highly polar cleans for removal of residues from semiconductor structures
|
JP4153783B2
(ja)
|
2002-12-09 |
2008-09-24 |
株式会社東芝 |
X線平面検出器
|
JP4325301B2
(ja)
|
2003-01-31 |
2009-09-02 |
東京エレクトロン株式会社 |
載置台、処理装置及び処理方法
|
JP2004259786A
(ja)
|
2003-02-24 |
2004-09-16 |
Canon Inc |
露光装置
|
JP2006522480A
(ja)
|
2003-03-31 |
2006-09-28 |
東京エレクトロン株式会社 |
多層フォトレジストのドライ現像のための方法及び装置
|
US20040203256A1
(en)
|
2003-04-08 |
2004-10-14 |
Seagate Technology Llc |
Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
|
WO2005029188A1
(ja)
*
|
2003-09-24 |
2005-03-31 |
Hitachi Chemical Co., Ltd. |
感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法
|
GB0323805D0
(en)
|
2003-10-10 |
2003-11-12 |
Univ Southampton |
Synthesis of germanium sulphide and related compounds
|
JP4313749B2
(ja)
|
2003-10-10 |
2009-08-12 |
エーエスエムエル ネザーランズ ビー.ブイ. |
基板を支持部材上に配置する方法、及び基板ハンドラ
|
US7126128B2
(en)
|
2004-02-13 |
2006-10-24 |
Kabushiki Kaisha Toshiba |
Flat panel x-ray detector
|
JP4459666B2
(ja)
|
2004-03-12 |
2010-04-28 |
株式会社半導体エネルギー研究所 |
除去装置
|
US7449416B2
(en)
|
2004-09-01 |
2008-11-11 |
Axcelis Technologies, Inc. |
Apparatus and plasma ashing process for increasing photoresist removal rate
|
JP2006253282A
(ja)
|
2005-03-09 |
2006-09-21 |
Ebara Corp |
金属膜のパターン形成方法
|
US20060068173A1
(en)
|
2004-09-30 |
2006-03-30 |
Ebara Corporation |
Methods for forming and patterning of metallic films
|
US20060128127A1
(en)
|
2004-12-13 |
2006-06-15 |
Jung-Hun Seo |
Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
|
US7885387B2
(en)
|
2004-12-17 |
2011-02-08 |
Osaka University |
Extreme ultraviolet light and X-ray source target and manufacturing method thereof
|
KR100601979B1
(ko)
|
2004-12-30 |
2006-07-18 |
삼성전자주식회사 |
반도체 웨이퍼의 베이킹 장치
|
KR100607201B1
(ko)
|
2005-01-04 |
2006-08-01 |
삼성전자주식회사 |
극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
|
US7381633B2
(en)
|
2005-01-27 |
2008-06-03 |
Hewlett-Packard Development Company, L.P. |
Method of making a patterned metal oxide film
|
US7365026B2
(en)
|
2005-02-01 |
2008-04-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
CxHy sacrificial layer for cu/low-k interconnects
|
US7868304B2
(en)
|
2005-02-07 |
2011-01-11 |
Asml Netherlands B.V. |
Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
|
US7608367B1
(en)
*
|
2005-04-22 |
2009-10-27 |
Sandia Corporation |
Vitreous carbon mask substrate for X-ray lithography
|
TWI338171B
(en)
|
2005-05-02 |
2011-03-01 |
Au Optronics Corp |
Display device and wiring structure and method for forming the same
|
JP2006310681A
(ja)
|
2005-05-02 |
2006-11-09 |
Dainippon Screen Mfg Co Ltd |
基板処理方法および装置
|
KR100705416B1
(ko)
|
2005-06-15 |
2007-04-10 |
삼성전자주식회사 |
포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
|
US7691559B2
(en)
|
2005-06-30 |
2010-04-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Immersion lithography edge bead removal
|
JP4530933B2
(ja)
|
2005-07-21 |
2010-08-25 |
大日本スクリーン製造株式会社 |
基板熱処理装置
|
US7482280B2
(en)
|
2005-08-15 |
2009-01-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming a lithography pattern
|
JP4530980B2
(ja)
|
2005-08-26 |
2010-08-25 |
東京応化工業株式会社 |
膜形成用材料およびパターン形成方法
|
US7909960B2
(en)
|
2005-09-27 |
2011-03-22 |
Lam Research Corporation |
Apparatus and methods to remove films on bevel edge and backside of wafer
|
US8664124B2
(en)
|
2005-10-31 |
2014-03-04 |
Novellus Systems, Inc. |
Method for etching organic hardmasks
|
JP5055743B2
(ja)
*
|
2005-11-04 |
2012-10-24 |
セントラル硝子株式会社 |
含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
|
US20070117040A1
(en)
|
2005-11-21 |
2007-05-24 |
International Business Machines Corporation |
Water castable-water strippable top coats for 193 nm immersion lithography
|
WO2007064376A2
(en)
|
2005-11-28 |
2007-06-07 |
Honeywell International Inc. |
Organometallic precursors and related intermediates for deposition processes, their production and methods of use
|
JP2007207530A
(ja)
|
2006-01-31 |
2007-08-16 |
Toshiba Corp |
異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
|
US7662718B2
(en)
|
2006-03-09 |
2010-02-16 |
Micron Technology, Inc. |
Trim process for critical dimension control for integrated circuits
|
US7682659B1
(en)
|
2006-04-10 |
2010-03-23 |
The Regents Of The University Of California |
Fabrication of suspended carbon micro and nanoscale structures
|
EP1891429B1
(en)
|
2006-04-20 |
2009-10-07 |
Delaware Capital Formation, Inc. |
Coating for harsh environments and sensors using same
|
KR100721206B1
(ko)
|
2006-05-04 |
2007-05-23 |
주식회사 하이닉스반도체 |
반도체소자의 스토리지노드 컨택 형성방법
|
US20070287073A1
(en)
|
2006-06-07 |
2007-12-13 |
Francis Goodwin |
Lithography systems and methods
|
EP2047332A4
(en)
|
2006-07-10 |
2009-11-18 |
Pixelligent Technologies Llc |
RESISTS FOR PHOTOLITHOGRAPHY
|
US7534627B2
(en)
|
2006-08-07 |
2009-05-19 |
Sokudo Co., Ltd. |
Methods and systems for controlling critical dimensions in track lithography tools
|
US7718542B2
(en)
|
2006-08-25 |
2010-05-18 |
Lam Research Corporation |
Low-k damage avoidance during bevel etch processing
|
US7771895B2
(en)
|
2006-09-15 |
2010-08-10 |
Applied Materials, Inc. |
Method of etching extreme ultraviolet light (EUV) photomasks
|
JP2008091215A
(ja)
|
2006-10-02 |
2008-04-17 |
Nitto Kasei Co Ltd |
酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
|
JP4428717B2
(ja)
|
2006-11-14 |
2010-03-10 |
東京エレクトロン株式会社 |
基板処理方法及び基板処理システム
|
JP5132920B2
(ja)
|
2006-11-22 |
2013-01-30 |
東京エレクトロン株式会社 |
塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
|
WO2008088076A1
(ja)
|
2007-01-17 |
2008-07-24 |
Sony Corporation |
現像液、および微細加工体の製造方法
|
KR101392291B1
(ko)
*
|
2007-04-13 |
2014-05-07 |
주식회사 동진쎄미켐 |
포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
|
US8105660B2
(en)
|
2007-06-28 |
2012-01-31 |
Andrew W Tudhope |
Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
|
US8664513B2
(en)
|
2007-10-12 |
2014-03-04 |
OmniPV, Inc. |
Solar modules with enhanced efficiencies via use of spectral concentrators
|
US7976631B2
(en)
|
2007-10-16 |
2011-07-12 |
Applied Materials, Inc. |
Multi-gas straight channel showerhead
|
KR100921932B1
(ko)
|
2007-10-25 |
2009-10-15 |
포항공과대학교 산학협력단 |
다원자분자를 이용한 패터닝방법
|
SG153748A1
(en)
|
2007-12-17 |
2009-07-29 |
Asml Holding Nv |
Lithographic method and apparatus
|
WO2009080610A1
(en)
*
|
2007-12-20 |
2009-07-02 |
Nv Bekaert Sa |
A substrate coated with amorphous hydrogenated carbon
|
US20090197086A1
(en)
|
2008-02-04 |
2009-08-06 |
Sudha Rathi |
Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
|
KR101659095B1
(ko)
|
2008-02-08 |
2016-09-22 |
램 리써치 코포레이션 |
측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
|
JP4978501B2
(ja)
|
2008-02-14 |
2012-07-18 |
日本電気株式会社 |
熱型赤外線検出器及びその製造方法
|
US8153348B2
(en)
|
2008-02-20 |
2012-04-10 |
Applied Materials, Inc. |
Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
|
JP5017147B2
(ja)
|
2008-03-06 |
2012-09-05 |
東京エレクトロン株式会社 |
基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
|
US7985513B2
(en)
|
2008-03-18 |
2011-07-26 |
Advanced Micro Devices, Inc. |
Fluorine-passivated reticles for use in lithography and methods for fabricating the same
|
US20090286402A1
(en)
|
2008-05-13 |
2009-11-19 |
Applied Materials, Inc |
Method for critical dimension shrink using conformal pecvd films
|
US20090286397A1
(en)
|
2008-05-15 |
2009-11-19 |
Lam Research Corporation |
Selective inductive double patterning
|
JP2009294439A
(ja)
|
2008-06-05 |
2009-12-17 |
Toshiba Corp |
レジストパターン形成方法
|
JP5171422B2
(ja)
|
2008-06-19 |
2013-03-27 |
ルネサスエレクトロニクス株式会社 |
感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
|
US20090321707A1
(en)
|
2008-06-25 |
2009-12-31 |
Matthew Metz |
Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
|
US20090325387A1
(en)
|
2008-06-26 |
2009-12-31 |
Applied Materials, Inc. |
Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
|
JP5391594B2
(ja)
|
2008-07-02 |
2014-01-15 |
富士通セミコンダクター株式会社 |
半導体装置の製造方法
|
JP4966922B2
(ja)
|
2008-07-07 |
2012-07-04 |
東京エレクトロン株式会社 |
レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
|
WO2010007955A1
(ja)
|
2008-07-14 |
2010-01-21 |
旭硝子株式会社 |
Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
|
JP2011529126A
(ja)
|
2008-07-24 |
2011-12-01 |
コヴィオ インコーポレイテッド |
アルミニウムインク及びその製造方法、アルミニウムインクを堆積する方法、並びにアルミニウムインクの印刷及び/又は堆積により形成されたフィルム
|
US8435723B2
(en)
|
2008-09-11 |
2013-05-07 |
Nikon Corporation |
Pattern forming method and device production method
|
WO2010044400A1
(ja)
|
2008-10-14 |
2010-04-22 |
旭化成株式会社 |
熱反応型レジスト材料、それを用いた熱リソグラフィ用積層体及びそれらを用いたモールドの製造方法
|
US8105954B2
(en)
|
2008-10-20 |
2012-01-31 |
aiwan Semiconductor Manufacturing Company, Ltd. |
System and method of vapor deposition
|
JP5225815B2
(ja)
|
2008-11-19 |
2013-07-03 |
東京エレクトロン株式会社 |
インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
|
US7977235B2
(en)
|
2009-02-02 |
2011-07-12 |
Tokyo Electron Limited |
Method for manufacturing a semiconductor device with metal-containing cap layers
|
JP4880004B2
(ja)
|
2009-02-06 |
2012-02-22 |
東京エレクトロン株式会社 |
基板処理システム
|
JP2010239087A
(ja)
|
2009-03-31 |
2010-10-21 |
Tokyo Electron Ltd |
基板支持装置及び基板支持方法
|
JP5193121B2
(ja)
|
2009-04-17 |
2013-05-08 |
東京エレクトロン株式会社 |
レジスト塗布現像方法
|
US8114306B2
(en)
|
2009-05-22 |
2012-02-14 |
International Business Machines Corporation |
Method of forming sub-lithographic features using directed self-assembly of polymers
|
US20100304027A1
(en)
|
2009-05-27 |
2010-12-02 |
Applied Materials, Inc. |
Substrate processing system and methods thereof
|
US20100310790A1
(en)
|
2009-06-09 |
2010-12-09 |
Nanya Technology Corporation |
Method of forming carbon-containing layer
|
JPWO2011040385A1
(ja)
|
2009-09-29 |
2013-02-28 |
東京エレクトロン株式会社 |
Ni膜の成膜方法
|
US8247332B2
(en)
|
2009-12-04 |
2012-08-21 |
Novellus Systems, Inc. |
Hardmask materials
|
JP5682573B2
(ja)
|
2009-12-28 |
2015-03-11 |
旭硝子株式会社 |
感光性組成物、隔壁、カラーフィルタおよび有機el素子
|
JP5544914B2
(ja)
|
2010-02-15 |
2014-07-09 |
大日本印刷株式会社 |
反射型マスクの製造方法
|
JP5003773B2
(ja)
|
2010-02-15 |
2012-08-15 |
東京エレクトロン株式会社 |
現像装置、現像方法及び記憶媒体
|
US8178439B2
(en)
|
2010-03-30 |
2012-05-15 |
Tokyo Electron Limited |
Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
|
US9257274B2
(en)
|
2010-04-15 |
2016-02-09 |
Lam Research Corporation |
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
|
KR20130115085A
(ko)
|
2010-04-30 |
2013-10-21 |
어플라이드 머티어리얼스, 인코포레이티드 |
개선된 스택 결함을 위한 비결정질 탄소 증착 방법
|
US9176377B2
(en)
|
2010-06-01 |
2015-11-03 |
Inpria Corporation |
Patterned inorganic layers, radiation based patterning compositions and corresponding methods
|
JP5392190B2
(ja)
|
2010-06-01 |
2014-01-22 |
東京エレクトロン株式会社 |
基板処理システム及び基板処理方法
|
US8138097B1
(en)
|
2010-09-20 |
2012-03-20 |
Kabushiki Kaisha Toshiba |
Method for processing semiconductor structure and device based on the same
|
US8524612B2
(en)
|
2010-09-23 |
2013-09-03 |
Novellus Systems, Inc. |
Plasma-activated deposition of conformal films
|
TW201224190A
(en)
|
2010-10-06 |
2012-06-16 |
Applied Materials Inc |
Atomic layer deposition of photoresist materials and hard mask precursors
|
US8470711B2
(en)
|
2010-11-23 |
2013-06-25 |
International Business Machines Corporation |
Tone inversion with partial underlayer etch for semiconductor device formation
|
US20110147350A1
(en)
|
2010-12-03 |
2011-06-23 |
Uvtech Systems Inc. |
Modular apparatus for wafer edge processing
|
US9719169B2
(en)
|
2010-12-20 |
2017-08-01 |
Novellus Systems, Inc. |
System and apparatus for flowable deposition in semiconductor fabrication
|
JP5572560B2
(ja)
|
2011-01-05 |
2014-08-13 |
東京エレクトロン株式会社 |
成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
|
US8836082B2
(en)
|
2011-01-31 |
2014-09-16 |
Brewer Science Inc. |
Reversal lithography approach by selective deposition of nanoparticles
|
US8778816B2
(en)
|
2011-02-04 |
2014-07-15 |
Applied Materials, Inc. |
In situ vapor phase surface activation of SiO2
|
JP5708522B2
(ja)
|
2011-02-15 |
2015-04-30 |
信越化学工業株式会社 |
レジスト材料及びこれを用いたパターン形成方法
|
JP5842338B2
(ja)
|
2011-02-17 |
2016-01-13 |
セイコーエプソン株式会社 |
波長可変干渉フィルター、光モジュール、および電子機器
|
US9281207B2
(en)
|
2011-02-28 |
2016-03-08 |
Inpria Corporation |
Solution processible hardmasks for high resolution lithography
|
FR2975823B1
(fr)
|
2011-05-27 |
2014-11-21 |
Commissariat Energie Atomique |
Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
|
KR101295791B1
(ko)
|
2011-05-31 |
2013-08-09 |
세메스 주식회사 |
기판 처리 설비 및 기판 처리 방법
|
US8709706B2
(en)
|
2011-06-15 |
2014-04-29 |
Applied Materials, Inc. |
Methods and apparatus for performing multiple photoresist layer development and etching processes
|
EP2729844B1
(en)
|
2011-07-08 |
2021-07-28 |
ASML Netherlands B.V. |
Lithographic patterning process and resists to use therein
|
US8741775B2
(en)
|
2011-07-20 |
2014-06-03 |
Applied Materials, Inc. |
Method of patterning a low-K dielectric film
|
CN102610516B
(zh)
|
2011-07-22 |
2015-01-21 |
上海华力微电子有限公司 |
一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
|
EP2587518B1
(en)
|
2011-10-31 |
2018-12-19 |
IHI Hauzer Techno Coating B.V. |
Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
|
US8808561B2
(en)
|
2011-11-15 |
2014-08-19 |
Lam Research Coporation |
Inert-dominant pulsing in plasma processing systems
|
KR102061919B1
(ko)
|
2011-11-21 |
2020-01-02 |
브레우어 사이언스 인코포레이션 |
Euv 리소그래피용 보조층
|
US8809994B2
(en)
|
2011-12-09 |
2014-08-19 |
International Business Machines Corporation |
Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
|
US20130177847A1
(en)
|
2011-12-12 |
2013-07-11 |
Applied Materials, Inc. |
Photoresist for improved lithographic control
|
US8691476B2
(en)
|
2011-12-16 |
2014-04-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
EUV mask and method for forming the same
|
EP2608247A1
(en)
|
2011-12-21 |
2013-06-26 |
Imec |
EUV photoresist encapsulation
|
JP5705103B2
(ja)
|
2011-12-26 |
2015-04-22 |
株式会社東芝 |
パターン形成方法
|
JP5919896B2
(ja)
|
2011-12-28 |
2016-05-18 |
住友ベークライト株式会社 |
硬化膜の処理方法および半導体装置の製造方法
|
US8883028B2
(en)
|
2011-12-28 |
2014-11-11 |
Lam Research Corporation |
Mixed mode pulsing etching in plasma processing systems
|
KR101920711B1
(ko)
|
2012-01-16 |
2018-11-22 |
삼성전자주식회사 |
박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
|
SG193093A1
(en)
|
2012-02-13 |
2013-09-30 |
Novellus Systems Inc |
Method for etching organic hardmasks
|
CN103243310B
(zh)
|
2012-02-14 |
2017-04-12 |
诺发系统公司 |
在衬底表面上的等离子体激活的保形膜沉积的方法
|
US8703386B2
(en)
|
2012-02-27 |
2014-04-22 |
International Business Machines Corporation |
Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
|
US9048294B2
(en)
|
2012-04-13 |
2015-06-02 |
Applied Materials, Inc. |
Methods for depositing manganese and manganese nitrides
|
JP6056854B2
(ja)
|
2012-05-14 |
2017-01-11 |
コニカミノルタ株式会社 |
ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス
|
SG195494A1
(en)
|
2012-05-18 |
2013-12-30 |
Novellus Systems Inc |
Carbon deposition-etch-ash gap fill process
|
JP6034598B2
(ja)
|
2012-05-31 |
2016-11-30 |
ギガフォトン株式会社 |
Euv光生成装置の洗浄方法
|
US9355839B2
(en)
|
2012-10-23 |
2016-05-31 |
Lam Research Corporation |
Sub-saturated atomic layer deposition and conformal film deposition
|
SG2013083241A
(en)
|
2012-11-08 |
2014-06-27 |
Novellus Systems Inc |
Conformal film deposition for gapfill
|
US8969997B2
(en)
|
2012-11-14 |
2015-03-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Isolation structures and methods of forming the same
|
US8927989B2
(en)
|
2012-11-28 |
2015-01-06 |
International Business Machines Corporation |
Voltage contrast inspection of deep trench isolation
|
US9362133B2
(en)
|
2012-12-14 |
2016-06-07 |
Lam Research Corporation |
Method for forming a mask by etching conformal film on patterned ashable hardmask
|
JP5913077B2
(ja)
|
2012-12-18 |
2016-04-27 |
信越化学工業株式会社 |
ポジ型レジスト材料及びこれを用いたパターン形成方法
|
KR101792165B1
(ko)
|
2012-12-18 |
2017-10-31 |
시스타 케미칼즈 인코포레이티드 |
박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
|
US9337068B2
(en)
|
2012-12-18 |
2016-05-10 |
Lam Research Corporation |
Oxygen-containing ceramic hard masks and associated wet-cleans
|
JP6134522B2
(ja)
|
2013-01-30 |
2017-05-24 |
株式会社ニューフレアテクノロジー |
気相成長装置および気相成長方法
|
JP6068171B2
(ja)
|
2013-02-04 |
2017-01-25 |
株式会社日立ハイテクノロジーズ |
試料の処理方法および試料処理装置
|
US9304396B2
(en)
|
2013-02-25 |
2016-04-05 |
Lam Research Corporation |
PECVD films for EUV lithography
|
KR102177738B1
(ko)
|
2013-03-08 |
2020-11-11 |
어플라이드 머티어리얼스, 인코포레이티드 |
불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
|
US9607904B2
(en)
|
2013-03-11 |
2017-03-28 |
Intermolecular, Inc. |
Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
|
US9223220B2
(en)
|
2013-03-12 |
2015-12-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Photo resist baking in lithography process
|
US9632411B2
(en)
|
2013-03-14 |
2017-04-25 |
Applied Materials, Inc. |
Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
|
US9411237B2
(en)
|
2013-03-14 |
2016-08-09 |
Applied Materials, Inc. |
Resist hardening and development processes for semiconductor device manufacturing
|
US10953441B2
(en)
|
2013-03-15 |
2021-03-23 |
Kla Corporation |
System and method for cleaning optical surfaces of an extreme ultraviolet optical system
|
US10074544B2
(en)
|
2013-04-23 |
2018-09-11 |
Massachusetts Institute Of Technology |
Developer free positive tone lithography by thermal direct write
|
JP6242095B2
(ja)
|
2013-06-28 |
2017-12-06 |
株式会社日立国際電気 |
クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
|
US20150020848A1
(en)
|
2013-07-19 |
2015-01-22 |
Lam Research Corporation |
Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
|
US9310684B2
(en)
|
2013-08-22 |
2016-04-12 |
Inpria Corporation |
Organometallic solution based high resolution patterning compositions
|
US9372402B2
(en)
|
2013-09-13 |
2016-06-21 |
The Research Foundation For The State University Of New York |
Molecular organometallic resists for EUV
|
US9405204B2
(en)
|
2013-09-18 |
2016-08-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of overlay in extreme ultra-violet (EUV) lithography
|
JP2016539361A
(ja)
|
2013-11-08 |
2016-12-15 |
東京エレクトロン株式会社 |
Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
|
JP5917477B2
(ja)
|
2013-11-29 |
2016-05-18 |
株式会社日立国際電気 |
基板処理装置、半導体装置の製造方法及びプログラム
|
US9305839B2
(en)
|
2013-12-19 |
2016-04-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Curing photo resist for improving etching selectivity
|
US9324606B2
(en)
|
2014-01-09 |
2016-04-26 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Self-aligned repairing process for barrier layer
|
JP6495025B2
(ja)
|
2014-01-31 |
2019-04-03 |
ラム リサーチ コーポレーションLam Research Corporation |
真空統合ハードマスク処理および装置
|
TWI686499B
(zh)
|
2014-02-04 |
2020-03-01 |
荷蘭商Asm Ip控股公司 |
金屬、金屬氧化物與介電質的選擇性沉積
|
KR102357133B1
(ko)
|
2014-02-21 |
2022-01-28 |
도쿄엘렉트론가부시키가이샤 |
광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿
|
US9618848B2
(en)
|
2014-02-24 |
2017-04-11 |
Tokyo Electron Limited |
Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
|
KR102233577B1
(ko)
|
2014-02-25 |
2021-03-30 |
삼성전자주식회사 |
반도체 소자의 패턴 형성 방법
|
CN106030408B
(zh)
|
2014-02-26 |
2019-11-05 |
日产化学工业株式会社 |
抗蚀剂上层膜形成用组合物及使用该组合物的半导体装置的制造方法
|
US10685846B2
(en)
|
2014-05-16 |
2020-06-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor integrated circuit fabrication with pattern-reversing process
|
US9377692B2
(en)
|
2014-06-10 |
2016-06-28 |
Applied Materials, Inc. |
Electric/magnetic field guided acid diffusion
|
KR101989707B1
(ko)
|
2014-07-08 |
2019-06-14 |
도쿄엘렉트론가부시키가이샤 |
네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
|
GB201412201D0
(en)
|
2014-07-09 |
2014-08-20 |
Isis Innovation |
Two-step deposition process
|
US20160041471A1
(en)
|
2014-08-07 |
2016-02-11 |
International Business Machines Corporation |
Acidified conductive water for developer residue removal
|
JP6456967B2
(ja)
|
2014-09-02 |
2019-01-23 |
富士フイルム株式会社 |
パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
|
JP6572899B2
(ja)
|
2014-09-17 |
2019-09-11 |
Jsr株式会社 |
パターン形成方法
|
US20160086864A1
(en)
|
2014-09-24 |
2016-03-24 |
Lam Research Corporation |
Movable gas nozzle in drying module
|
JP6314779B2
(ja)
|
2014-10-01 |
2018-04-25 |
東京エレクトロン株式会社 |
液処理方法、記憶媒体及び液処理装置
|
KR102319630B1
(ko)
|
2014-10-23 |
2021-10-29 |
인프리아 코포레이션 |
유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
|
US9609730B2
(en)
|
2014-11-12 |
2017-03-28 |
Lam Research Corporation |
Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
|
US9576811B2
(en)
|
2015-01-12 |
2017-02-21 |
Lam Research Corporation |
Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
|
US9551924B2
(en)
|
2015-02-12 |
2017-01-24 |
International Business Machines Corporation |
Structure and method for fixing phase effects on EUV mask
|
JP6748098B2
(ja)
|
2015-03-09 |
2020-08-26 |
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー |
抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス
|
JP6404757B2
(ja)
|
2015-03-27 |
2018-10-17 |
信越化学工業株式会社 |
レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
|
US9607834B2
(en)
|
2015-04-02 |
2017-03-28 |
Tokyo Electron Limited |
Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
|
US20160314964A1
(en)
|
2015-04-21 |
2016-10-27 |
Lam Research Corporation |
Gap fill using carbon-based films
|
US9870899B2
(en)
|
2015-04-24 |
2018-01-16 |
Lam Research Corporation |
Cobalt etch back
|
DE102015208492A1
(de)
|
2015-05-07 |
2016-11-10 |
Reiner Diefenbach |
Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
|
JP6494417B2
(ja)
|
2015-05-20 |
2019-04-03 |
株式会社ディスコ |
プラズマエッチング装置
|
US9829790B2
(en)
|
2015-06-08 |
2017-11-28 |
Applied Materials, Inc. |
Immersion field guided exposure and post-exposure bake process
|
US9659771B2
(en)
|
2015-06-11 |
2017-05-23 |
Applied Materials, Inc. |
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
|
US9922839B2
(en)
|
2015-06-23 |
2018-03-20 |
Lam Research Corporation |
Low roughness EUV lithography
|
JP6817692B2
(ja)
|
2015-08-27 |
2021-01-20 |
東京エレクトロン株式会社 |
プラズマ処理方法
|
US9984858B2
(en)
|
2015-09-04 |
2018-05-29 |
Lam Research Corporation |
ALE smoothness: in and outside semiconductor industry
|
KR102346372B1
(ko)
|
2015-10-13 |
2021-12-31 |
인프리아 코포레이션 |
유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
|
US10388546B2
(en)
|
2015-11-16 |
2019-08-20 |
Lam Research Corporation |
Apparatus for UV flowable dielectric
|
US9996004B2
(en)
*
|
2015-11-20 |
2018-06-12 |
Lam Research Corporation |
EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
|
US11187984B2
(en)
|
2015-11-25 |
2021-11-30 |
Osaka University |
Resist patterning method and resist material
|
JP6603115B2
(ja)
|
2015-11-27 |
2019-11-06 |
信越化学工業株式会社 |
ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
|
US10503070B2
(en)
*
|
2015-12-10 |
2019-12-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Photosensitive material and method of lithography
|
JP6517678B2
(ja)
|
2015-12-11 |
2019-05-22 |
株式会社Screenホールディングス |
電子デバイスの製造方法
|
JP6560828B2
(ja)
|
2015-12-23 |
2019-08-14 |
エーエスエムエル ネザーランズ ビー.ブイ. |
基板上の感光性材料を除去するための方法
|
US9633838B2
(en)
|
2015-12-28 |
2017-04-25 |
L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude |
Vapor deposition of silicon-containing films using penta-substituted disilanes
|
JP6742748B2
(ja)
|
2016-02-17 |
2020-08-19 |
株式会社Screenホールディングス |
現像ユニット、基板処理装置、現像方法および基板処理方法
|
US10018920B2
(en)
|
2016-03-04 |
2018-07-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Lithography patterning with a gas phase resist
|
GB201603988D0
(en)
*
|
2016-03-08 |
2016-04-20 |
Semblant Ltd |
Plasma deposition method
|
KR102394042B1
(ko)
|
2016-03-11 |
2022-05-03 |
인프리아 코포레이션 |
사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
|
WO2017176282A1
(en)
|
2016-04-08 |
2017-10-12 |
Intel Corporation |
Two-stage bake photoresist with releasable quencher
|
CN109154777A
(zh)
|
2016-04-28 |
2019-01-04 |
三菱瓦斯化学株式会社 |
抗蚀剂下层膜形成用组合物、光刻用下层膜、及图案形成方法
|
CN109154772B
(zh)
|
2016-05-19 |
2023-11-07 |
Asml荷兰有限公司 |
抗蚀剂组合物
|
KR20170135760A
(ko)
|
2016-05-31 |
2017-12-08 |
도쿄엘렉트론가부시키가이샤 |
표면 처리에 의한 선택적 퇴적
|
EP3258317B1
(en)
|
2016-06-16 |
2022-01-19 |
IMEC vzw |
Method for performing extreme ultra violet (euv) lithography
|
US9824893B1
(en)
|
2016-06-28 |
2017-11-21 |
Lam Research Corporation |
Tin oxide thin film spacers in semiconductor device manufacturing
|
WO2018004551A1
(en)
|
2016-06-28 |
2018-01-04 |
Intel Corporation |
Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
|
WO2018004646A1
(en)
|
2016-07-01 |
2018-01-04 |
Intel Corporation |
Metal oxide resist materials
|
US10866516B2
(en)
|
2016-08-05 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Metal-compound-removing solvent and method in lithography
|
JP2018025686A
(ja)
|
2016-08-10 |
2018-02-15 |
株式会社リコー |
電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
|
TWI759147B
(zh)
|
2016-08-12 |
2022-03-21 |
美商因普利亞公司 |
減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
|
US10566211B2
(en)
|
2016-08-30 |
2020-02-18 |
Lam Research Corporation |
Continuous and pulsed RF plasma for etching metals
|
WO2018061670A1
(ja)
|
2016-09-29 |
2018-04-05 |
富士フイルム株式会社 |
処理液、および積層体の処理方法
|
KR101966808B1
(ko)
|
2016-09-30 |
2019-04-08 |
세메스 주식회사 |
기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
|
KR102614850B1
(ko)
|
2016-10-05 |
2023-12-18 |
삼성전자주식회사 |
반도체 소자 제조방법
|
US10755942B2
(en)
|
2016-11-02 |
2020-08-25 |
Massachusetts Institute Of Technology |
Method of forming topcoat for patterning
|
US10520821B2
(en)
|
2016-11-29 |
2019-12-31 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Lithography process with enhanced etch selectivity
|
US10510538B2
(en)
|
2016-11-29 |
2019-12-17 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Reducing EUV-induced material property changes
|
JP6781031B2
(ja)
|
2016-12-08 |
2020-11-04 |
東京エレクトロン株式会社 |
基板処理方法及び熱処理装置
|
US9929012B1
(en)
|
2016-12-14 |
2018-03-27 |
International Business Machines Corporation |
Resist having tuned interface hardmask layer for EUV exposure
|
US10866511B2
(en)
|
2016-12-15 |
2020-12-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Extreme ultraviolet photolithography method with developer composition
|
US10566212B2
(en)
|
2016-12-19 |
2020-02-18 |
Lam Research Corporation |
Designer atomic layer etching
|
KR102047538B1
(ko)
*
|
2017-02-03 |
2019-11-21 |
삼성에스디아이 주식회사 |
레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
|
SG10201801132VA
(en)
|
2017-02-13 |
2018-09-27 |
Lam Res Corp |
Method to create air gaps
|
US10096477B2
(en)
|
2017-02-15 |
2018-10-09 |
International Business Machines Corporation |
Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
|
WO2018173446A1
(ja)
|
2017-03-22 |
2018-09-27 |
Jsr株式会社 |
パターン形成方法
|
JP2020095068A
(ja)
|
2017-03-31 |
2020-06-18 |
富士フイルム株式会社 |
パターン形成方法、電子デバイスの製造方法
|
US20180308687A1
(en)
|
2017-04-24 |
2018-10-25 |
Lam Research Corporation |
Euv photopatterning and selective deposition for negative pattern mask
|
KR102030056B1
(ko)
|
2017-05-02 |
2019-11-11 |
세메스 주식회사 |
챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
|
US10553409B2
(en)
|
2017-05-12 |
2020-02-04 |
Tokyo Electron Limited |
Method of cleaning plasma processing apparatus
|
US10796912B2
(en)
|
2017-05-16 |
2020-10-06 |
Lam Research Corporation |
Eliminating yield impact of stochastics in lithography
|
CN108962986B
(zh)
|
2017-05-18 |
2021-07-06 |
中芯国际集成电路制造(上海)有限公司 |
半导体装置及其制造方法
|
JP6852566B2
(ja)
|
2017-05-26 |
2021-03-31 |
大日本印刷株式会社 |
パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
|
US10745282B2
(en)
|
2017-06-08 |
2020-08-18 |
Applied Materials, Inc. |
Diamond-like carbon film
|
CA2975104A1
(en)
|
2017-08-02 |
2019-02-02 |
Seastar Chemicals Inc. |
Organometallic compounds and methods for the deposition of high purity tin oxide
|
JP6579173B2
(ja)
|
2017-09-19 |
2019-09-25 |
セイコーエプソン株式会社 |
電気光学装置、電気光学装置の駆動方法、及び、電子機器
|
US10763083B2
(en)
|
2017-10-06 |
2020-09-01 |
Lam Research Corporation |
High energy atomic layer etching
|
US20190131130A1
(en)
|
2017-10-31 |
2019-05-02 |
Lam Research Corporation |
Etching metal oxide substrates using ale and selective deposition
|
KR102067081B1
(ko)
|
2017-11-01 |
2020-01-16 |
삼성에스디아이 주식회사 |
레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
|
KR102634520B1
(ko)
|
2017-11-20 |
2024-02-06 |
인프리아 코포레이션 |
유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
|
US11022879B2
(en)
|
2017-11-24 |
2021-06-01 |
Asm Ip Holding B.V. |
Method of forming an enhanced unexposed photoresist layer
|
SG11202005150YA
(en)
*
|
2017-12-01 |
2020-06-29 |
Applied Materials Inc |
Highly etch selective amorphous carbon film
|
WO2019111727A1
(ja)
|
2017-12-06 |
2019-06-13 |
Jsr株式会社 |
感放射線性組成物及びレジストパターン形成方法
|
WO2019125952A1
(en)
|
2017-12-18 |
2019-06-27 |
Tokyo Electron Limited |
Plasma treatment method to enhance surface adhesion for lithography
|
US10347486B1
(en)
|
2017-12-19 |
2019-07-09 |
International Business Machines Corporation |
Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
|
US10727075B2
(en)
|
2017-12-22 |
2020-07-28 |
Applied Materials, Inc. |
Uniform EUV photoresist patterning utilizing pulsed plasma process
|
KR102540963B1
(ko)
*
|
2017-12-27 |
2023-06-07 |
삼성전자주식회사 |
미세 패턴 형성 방법 및 기판 처리 장치
|
KR20190085654A
(ko)
|
2018-01-11 |
2019-07-19 |
삼성전자주식회사 |
반도체 소자의 제조 방법
|
JP7005369B2
(ja)
|
2018-02-05 |
2022-01-21 |
キオクシア株式会社 |
薬液塗布装置および半導体デバイスの製造方法
|
CN111712765A
(zh)
|
2018-02-13 |
2020-09-25 |
Asml荷兰有限公司 |
清洁euv腔室中的结构表面
|
WO2019163455A1
(ja)
|
2018-02-22 |
2019-08-29 |
株式会社ダイセル |
基板親水化処理剤
|
EP3776636A4
(en)
|
2018-03-30 |
2021-12-22 |
Lam Research Corporation |
ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
|
TWI778248B
(zh)
|
2018-04-05 |
2022-09-21 |
美商英培雅股份有限公司 |
錫十二聚物及具有強euv吸收的輻射可圖案化塗層
|
US11673903B2
(en)
|
2018-04-11 |
2023-06-13 |
Inpria Corporation |
Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
|
US10787466B2
(en)
|
2018-04-11 |
2020-09-29 |
Inpria Corporation |
Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
|
JP7101036B2
(ja)
|
2018-04-26 |
2022-07-14 |
東京エレクトロン株式会社 |
処理液供給装置及び処理液供給方法
|
US20190348292A1
(en)
*
|
2018-05-10 |
2019-11-14 |
International Business Machines Corporation |
Transferring euv resist pattern to eliminate pattern transfer defectivity
|
EP3791231A4
(en)
|
2018-05-11 |
2022-01-26 |
Lam Research Corporation |
METHODS FOR MAKING EUV PATTERNABLE HARD MASKS
|
CN112204169A
(zh)
|
2018-05-16 |
2021-01-08 |
应用材料公司 |
原子层自对准的基板处理和整合式成套工具
|
KR20210014121A
(ko)
|
2018-05-29 |
2021-02-08 |
도쿄엘렉트론가부시키가이샤 |
기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
|
KR102211158B1
(ko)
|
2018-06-08 |
2021-02-01 |
삼성에스디아이 주식회사 |
반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
|
JP7348210B2
(ja)
|
2018-06-13 |
2023-09-20 |
ブルーワー サイエンス アイ エヌ シー. |
Euvリソグラフィ用接着層
|
US11393703B2
(en)
|
2018-06-18 |
2022-07-19 |
Applied Materials, Inc. |
Apparatus and method for controlling a flow process material to a deposition chamber
|
TW202404985A
(zh)
|
2018-06-21 |
2024-02-01 |
美商英培雅股份有限公司 |
包含溶劑與單烷基錫三烷氧化物之混合物的溶液
|
US11437238B2
(en)
|
2018-07-09 |
2022-09-06 |
Applied Materials, Inc. |
Patterning scheme to improve EUV resist and hard mask selectivity
|
US11092889B2
(en)
|
2018-07-31 |
2021-08-17 |
Samsung Sdi Co., Ltd. |
Semiconductor resist composition, and method of forming patterns using the composition
|
US10840082B2
(en)
|
2018-08-09 |
2020-11-17 |
Lam Research Corporation |
Method to clean SnO2 film from chamber
|
FI129480B
(en)
|
2018-08-10 |
2022-03-15 |
Pibond Oy |
Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
|
US10838304B2
(en)
|
2018-08-13 |
2020-11-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Priming material for organometallic resist
|
JP7241486B2
(ja)
|
2018-08-21 |
2023-03-17 |
東京エレクトロン株式会社 |
マスクの形成方法
|
JP7213642B2
(ja)
|
2018-09-05 |
2023-01-27 |
東京エレクトロン株式会社 |
レジスト膜の製造方法
|
TW202016279A
(zh)
|
2018-10-17 |
2020-05-01 |
美商英培雅股份有限公司 |
圖案化有機金屬光阻及圖案化的方法
|
JP6816083B2
(ja)
|
2018-10-22 |
2021-01-20 |
キオクシア株式会社 |
半導体装置の製造方法
|
US10845704B2
(en)
|
2018-10-30 |
2020-11-24 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
|
JP6597872B2
(ja)
|
2018-11-13 |
2019-10-30 |
東京エレクトロン株式会社 |
基板処理方法
|
JP2022507368A
(ja)
|
2018-11-14 |
2022-01-18 |
ラム リサーチ コーポレーション |
次世代リソグラフィにおいて有用なハードマスクを作製する方法
|
US12025919B2
(en)
|
2018-11-30 |
2024-07-02 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method of storing photoresist coated substrates and semiconductor substrate container arrangement
|
US11217444B2
(en)
|
2018-11-30 |
2022-01-04 |
Asm Ip Holding B.V. |
Method for forming an ultraviolet radiation responsive metal oxide-containing film
|
CN109521657A
(zh)
*
|
2018-12-11 |
2019-03-26 |
中国科学院光电技术研究所 |
一种表面等离子体光刻中小分子光刻胶的干法显影方法
|
CN113227909A
(zh)
|
2018-12-20 |
2021-08-06 |
朗姆研究公司 |
抗蚀剂的干式显影
|
US11498934B2
(en)
|
2019-01-30 |
2022-11-15 |
Inpria Corporation |
Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
|
US11966158B2
(en)
|
2019-01-30 |
2024-04-23 |
Inpria Corporation |
Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
|
JP7553458B2
(ja)
|
2019-02-25 |
2024-09-18 |
ボード オブ リージェンツ,ザ ユニバーシティ オブ テキサス システム |
異方性化学エッチングのための大面積測定及び処理制御
|
TWI849083B
(zh)
|
2019-03-18 |
2024-07-21 |
美商蘭姆研究公司 |
基板處理方法與設備
|
US20200326627A1
(en)
|
2019-04-12 |
2020-10-15 |
Inpria Corporation |
Organometallic photoresist developer compositions and processing methods
|
KR20210151229A
(ko)
|
2019-04-29 |
2021-12-13 |
램 리써치 코포레이션 |
서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
|
CN113785381A
(zh)
|
2019-04-30 |
2021-12-10 |
朗姆研究公司 |
用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
|
TWI837391B
(zh)
|
2019-06-26 |
2024-04-01 |
美商蘭姆研究公司 |
利用鹵化物化學品的光阻顯影
|
WO2020263750A1
(en)
|
2019-06-27 |
2020-12-30 |
Lam Research Corporation |
Apparatus for photoresist dry deposition
|
TWI849159B
(zh)
|
2019-06-28 |
2024-07-21 |
美商蘭姆研究公司 |
光阻膜的乾式腔室清潔
|
US20220342301A1
(en)
|
2019-06-28 |
2022-10-27 |
Lam Research Corporation |
Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
|
US20220308454A1
(en)
|
2019-06-28 |
2022-09-29 |
Lam Research Corporation |
Bake strategies to enhance lithographic performance of metal-containing resist
|
KR20210007862A
(ko)
|
2019-07-09 |
2021-01-20 |
에이에스엠 아이피 홀딩 비.브이. |
포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법
|
US11782345B2
(en)
|
2019-08-05 |
2023-10-10 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Bottom antireflective coating materials
|
WO2021067632A2
(en)
|
2019-10-02 |
2021-04-08 |
Lam Research Corporation |
Substrate surface modification with high euv absorbers for high performance euv photoresists
|
KR20220076498A
(ko)
|
2019-10-08 |
2022-06-08 |
램 리써치 코포레이션 |
Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
|
JP7189375B2
(ja)
*
|
2020-01-15 |
2022-12-13 |
ラム リサーチ コーポレーション |
フォトレジスト接着および線量低減のための下層
|
JP2023513134A
(ja)
|
2020-02-04 |
2023-03-30 |
ラム リサーチ コーポレーション |
金属含有euvレジストの乾式現像性能を高めるための塗布/露光後処理
|
JP2023515693A
(ja)
|
2020-03-02 |
2023-04-13 |
インプリア・コーポレイション |
無機レジストパターニング用のプロセス環境
|
US11705332B2
(en)
|
2020-03-30 |
2023-07-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
|
US11822237B2
(en)
|
2020-03-30 |
2023-11-21 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of manufacturing a semiconductor device
|
US20230152701A1
(en)
|
2020-03-30 |
2023-05-18 |
Lam Research Corporation |
Structure and method to achieve positive tone dry develop by a hermetic overlayer
|
US20230185196A1
(en)
|
2020-04-03 |
2023-06-15 |
Lam Research Corporation |
Pre-exposure photoresist curing to enhance euv lithographic performance
|
CN115702475A
(zh)
|
2020-06-22 |
2023-02-14 |
朗姆研究公司 |
用于含金属光致抗蚀剂沉积的表面改性
|
JP2023531102A
(ja)
|
2020-06-22 |
2023-07-20 |
ラム リサーチ コーポレーション |
フォトレジストの乾式裏面及びベベルエッジ洗浄
|
US11621172B2
(en)
|
2020-07-01 |
2023-04-04 |
Applied Materials, Inc. |
Vapor phase thermal etch solutions for metal oxo photoresists
|
KR102601038B1
(ko)
|
2020-07-07 |
2023-11-09 |
램 리써치 코포레이션 |
방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
|
JP2023534962A
(ja)
|
2020-07-17 |
2023-08-15 |
ラム リサーチ コーポレーション |
金属含有フォトレジストの現像のための金属キレート剤
|
KR20230041749A
(ko)
|
2020-07-17 |
2023-03-24 |
램 리써치 코포레이션 |
유기 공-반응 물질들 (co-reactants) 을 사용한 건식 증착된 포토레지스트들
|
CN116134381A
(zh)
|
2020-07-17 |
2023-05-16 |
朗姆研究公司 |
含钽光致抗蚀剂
|
WO2022016127A1
(en)
|
2020-07-17 |
2022-01-20 |
Lam Research Corporation |
Photoresists from sn(ii) precursors
|
KR20230051195A
(ko)
|
2020-07-17 |
2023-04-17 |
램 리써치 코포레이션 |
감광성 하이브리드 막들을 형성하는 방법
|
WO2022103764A1
(en)
|
2020-11-13 |
2022-05-19 |
Lam Research Corporation |
Process tool for dry removal of photoresist
|
KR20230113400A
(ko)
|
2020-12-08 |
2023-07-28 |
램 리써치 코포레이션 |
유기 증기를 사용한 포토레지스트 현상
|