TW201729006A - 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 - Google Patents

氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 Download PDF

Info

Publication number
TW201729006A
TW201729006A TW105137362A TW105137362A TW201729006A TW 201729006 A TW201729006 A TW 201729006A TW 105137362 A TW105137362 A TW 105137362A TW 105137362 A TW105137362 A TW 105137362A TW 201729006 A TW201729006 A TW 201729006A
Authority
TW
Taiwan
Prior art keywords
metal oxide
hard mask
forming
deposition
module
Prior art date
Application number
TW105137362A
Other languages
English (en)
Other versions
TWI730015B (zh
Inventor
大衛 史密斯
丹尼斯 M 豪斯曼恩
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201729006A publication Critical patent/TW201729006A/zh
Application granted granted Critical
Publication of TWI730015B publication Critical patent/TWI730015B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

真空整合的含金屬氧化物硬遮罩之形成製程與藉由氣相沉積與光微影技術結合之膜形成步驟之相關真空整合硬體,導致以相對於目前方法實質上減少之成本使含金屬氧化物硬遮罩直接光圖案化。

Description

氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
本揭露內容通常關於半導體處理的領域。尤其,本揭露內容關於用以形成用於EUV圖案化的含金屬氧化物硬遮罩的製程。
半導體處理中之薄膜的圖案化通常為半導體製造中的關鍵步驟。 圖案化涉及微影技術。在習知的光微影技術中(如193nm微影技術),圖案係藉由以下方式印製:從光子源發射光子至遮罩上並將圖案印至光敏光阻上,藉此在光阻中導致化學反應,其在顯影後移除光阻某些部分以形成圖案。
先進技術節點(如國際半導體技術路線圖所定義)包括22nm、16nm、及以上之節點。在16nm節點中,例如鑲嵌結構(Damascene structure)中典型的洞或線寬通常不大於約30nm。先進半導體積體電路(IC)與其他元件上之特徵部的縮放驅使微影技術改善解析度。一種這樣的方法為使用極紫外(EUV)輻射直接圖案化光敏感膜(有時稱作EUV光阻)。
典型的現行EUV光阻為聚合物基礎的化學放大光阻(chemically amplified resists, CARs)。 CARs的改善已透過藉由使用具有高表面黏著性與結構完整性減少光阻模糊(酸擴散)與圖案坍塌而完成。然而,薄的CARs指定製程視窗與複雜度,其讓使用附加膜層以支持多重步驟的圖案移轉成為必要。
CARs的替代物為直接可光圖案化的金屬氧化物膜。目前這樣的膜層為藉由旋塗式技術產生,並且消耗大量的錯合物金屬群集前驅物,而導致非常高的成本。
本揭露內容的實施態樣關於氣相沉積的含金屬氧化物硬遮罩之直接EUV光圖案化的方法與設備。這樣的方法與設備可提供次30nm圖案化的解析度。含金屬氧化物膜係在真空環境中藉由EUV曝光直接圖案化(亦即,無單獨光阻的使用)。例如,圖案化的EUV曝光使用EUV微影技術進行。該方法與相關的設備大幅減少所需之硬遮罩前驅物的量,並亦使導致相對於CARs更低淨成本之更簡單的前驅物得以使用。
在一實施例中, EUV敏感的含金屬氧化物膜於半導體基板上氣相沉積。含金屬氧化物膜隨後在真空環境中藉由EUV曝光直接圖案化,並顯影圖案以形成含金屬氧化物硬遮罩。依此方法,提供含金屬氧化物硬遮罩的形成製程,其結合藉由氣相沉積與光學微影技術之膜形成步驟,而具有以實質上降低之成本使含金屬氧化物硬遮罩直接光圖案化的結果。在另一實施態樣中,提供真空整合硬體以執行這樣的製程。
在各種實施例中,氣相沉積可藉由CVD或ALD進行。含金屬氧化物膜可為光敏感的有機金屬氧化物膜,像是有機錫氧化物(如鹵化烷基錫、烷氧基烷基錫、或醯胺基烷基錫)。一些合適的前驅物之具體範例包括三甲基氯化錫、二甲基二氯化錫(dimethyletin dichloride)、三氯甲基錫、三(二甲胺基)甲基錫(IV)、與(二甲胺基)三甲基錫(IV)。沉積可包括有機錫氧化物與RF電漿中之二氧化碳的反應,例如藉由Sn(Cl)3 CH3 與RF電漿中之二氧化碳的CVD所形成的CH3 Sn(SnO)3
在各種實施例中,EUV曝光造成在沉積的有機錫氧化物膜之已曝光部分中的二聚反應,例如沉積的有機錫氧化物膜可為CH3 Sn(SnO)3 ,而在EUV曝光時的二聚作用可在曝光部分產生Sn2 ((SnO)3 )2 。在各種實施例中,曝光提供真空環境中直接藉由EUV曝光(而無光阻的使用)之含金屬氧化物膜的圖案化,並接續顯影以形成含金屬氧化物硬遮罩。
在顯影中,移除含金屬氧化物膜的曝光或未曝光部分。可將基板移轉至真空環境外以進行圖案顯影。例如,Sn2 ((SnO)3 )2 的曝光部分可藉由顯影中的熱乙醇與水在真空環境外移除。
在另一實施例中,用以進行含金屬氧化物硬遮罩形成的設備可提供真空整合以進行所述製程。設備包括含金屬氧化物膜的氣相沉積模組、含金屬氧化物膜的圖案化模組、與連接沉積模組與圖案化模組的真空輸送模組。氣相沉積模組可包括用以氣相沉積光敏感有機錫氧化物膜的反應器腔室(如PECVD工具)。圖案化模組可包括具有次30nm波長輻射源的光微影工具(如EUV微影工具)。
設備更可包括包含用以進行含金屬氧化物硬遮罩形成的指令的控制器。指令包括用於以下的編碼:在含金屬氧化物膜的氣相沉積模組中,在半導體基板上氣相沉積EUV敏感的含金屬氧化物膜;在真空下移轉基板至含金屬氧化物膜的圖案化模組;在含金屬氧化物膜的圖案化模組中,於真空環境中藉由EUV曝光直接圖案化含金屬氧化物膜;與顯影圖案以形成含金屬氧化物硬遮罩。指令更可包括用以移轉基板至EUV微影工具外以進行圖案顯影的編碼。
本揭露內容的這些及其他的特徵與優點將於以下參照相關圖式更詳細地描述。
現將詳細參照本揭露內容之具體實施例。具體實施例之範例係於附圖中說明。雖本揭露內容將結合這些具體實施例描述,然應理解不欲使將本揭露內容限制於這樣的具體實施例。相反地,欲使涵蓋可包括在本揭露內容之精神與範疇內的置換、變更、與均等物。在以下說明中,提出許多特定細節以提供對本揭露內容之徹底了解。本揭露內容可在缺少一些或所有這些特定細節下實施。在其他情況下,為人熟知的製程操作並未詳加描述以免不必要地模糊本揭露內容。前言
極紫外光(EUV)微影可藉由移動至利用目前光微影方法可達到之更小的成像源波長而將微影技術技術擴展超過其光學限制,以圖案化小臨界尺寸特徵部。在約13.5nm波長的EUV光源可用於尖端微影工具(亦稱為掃描器)。EUV輻射在許多固體與流體材料(包括石英與水蒸氣)中極度受到強吸收,並因此在真空中操作。
EUV微影通常利用使用習知光阻製程圖案化的有機硬遮罩(例如,PECVD之非晶氫化碳的可灰化硬遮罩)。在光阻曝光期間,EUV輻射於光阻與下方的基板中被吸收,產生高能光電子(約100eV)且又產生側向擴散數奈米之一級聯之低能量二次電子(約10eV)。這些電子增加光阻中化學反應的程度,此增加其EUV劑量靈敏度。然而,本質上隨機的二次電子圖案在光學圖像上重疊。此多餘的二次電子曝光導致圖案化光阻中之解析度、可觀察的線邊緣粗糙度(LER)、與線寬變化的損失。這些缺陷在後續的圖案移轉蝕刻期間,於待圖案化的材料中複製。
與絕緣體(如光阻)不同,因二次電子可藉由利用傳導電子的散射快速失去能量並熱化,故含金屬氧化物材料較不易受到二次電子曝光效應的影響。然而,用以使覆蓋的金屬膜圖案化成遮罩之光阻中的電子散射仍將造成不可接受的影響(如LER)。
如上所述,典型的現行含金屬氧化物EUV光阻為藉由液體基礎之旋塗式技術產生的聚合物基礎的化學放大光阻(CARs),其以非常高的成本消耗大量的錯合物金屬群集前驅物。
如本文所述,已發現氣相沉積的含金屬氧化物膜(如藉由CVD或ALD沉積的有機錫氧化物),特別適用於直接的EUV光圖案化。在一實施例中, EUV敏感的含金屬氧化物膜在半導體基板上氣相沉積。含金屬氧化物膜隨後在真空環境中藉由EUV曝光直接圖案化,並顯影圖案以形成含金屬氧化物硬遮罩。以此方式,提供含金屬氧化物硬遮罩的形成製程,其結合藉由氣相沉積與光學微影技術之膜形成步驟,而具有以實質上降低之成本使含金屬氧化物硬遮罩直接光圖案化的結果。
在各種實施例中,氣相基礎的沉積製程(例如,CVD或ALD)可在PECVD工具中執行,像是Lam Vector®可用以形成含金屬氧化物膜的薄膜,例如在EUVL光源(例如,13.5nm等於91.8eV)的波長,這樣包含有機化合物(有機金屬氧化化合物)的光敏感的金屬氧化物,在EUV(例如在約10至20nm的波長)中具有強吸收性。此光圖案化形成含金屬氧化物遮罩,其在後續的蝕刻期間(例如,在如Lam 2300® Kiyo®之導體蝕刻工具中)為圖案移轉層。
在一些實施例中,沉積可在與微影平台(例如,晶圓步進器,像是如由ASML of Veldhoven, NL提供的TWINSCAN NXE: 3300B®平台)整合的腔室中進行,並在真空下傳送以在曝光前不反應。與微影工具的整合係由以下事實促進:在環境氣體(如H2 O、O2 等)造成入射光子之強光學吸收性的條件下,EUVL亦需要大幅降低的壓力。氣相沉積之含金屬氧化物硬遮罩的形成
圖1A至D說明用於氣相沉積之含金屬氧化物硬遮罩的形成製程的代表性製程。通常,EUV敏感的含金屬氧化物膜在半導體基板上沉積,使得該膜可藉由EUV曝光圖案化。含金屬膜隨後藉由EUV曝光直接圖案化(亦即,缺少光阻的使用),接著圖案顯影以形成含金屬氧化物遮罩。本發明內容主要涉及含金屬氧化物膜(尤其其中金屬為錫,例如有機錫氧化物膜),其藉由極紫外光微影技術(EUV lithography, EUVL)圖案化,尤其具有使用激發錫液滴之EUV源的EUVL。本文中這樣的膜稱作EUV敏感的膜。然而,應理解其他實施例亦有可能,包括不同含金屬膜。
期望的硬遮罩金屬將為強吸收體並將具有相對寬的吸收曲線、高熔點、與低延展性/高物理穩定性、且易於沉積。為了本揭露內容之目的,注意發射給定能量之光子的材料亦將吸收該能量之光子係重要的。強吸收的光將導致期望的分解或又將使膜層敏感化,使得受曝光區域可以熱、濕式化學等移除。圖2提供使用激發的錫液滴之EUV源的發射光譜。參見Proc. Of SPIE Vol. 7636 73636-1 (2010)之R.W. Coons等人的「Comparison of EUV spectral and ion emission features from laser produced Sn and Li plasmas」;79 J. Appl. Phys., 2251 (1996)之R.C. Spitzer等人的「Conversion efficiencies from laser-produced plasmas in the extreme ultraviolet region」;與J. Appl. Phys. 59 2337 (1986)之H.C. Gerritsen等人的「Laser-generated plasma as soft x-ray source」,其關於各種金屬之發射/吸收性質的揭露內容以參考文獻合併於此。發射的光子係在13.5nm或91.8eV的量級上。因此,錫為此申請案之期望的硬遮罩金屬。
參照圖1A,顯示待圖案化之半導體基板100。在一典型的範例中,半導體基板100為包括部分形成的積體電路的矽晶圓。
圖1B說明EUV敏感的金屬氧化物膜102在半導體基板100上氣相沉積。通常在沉積之前,會將半導體基板100放置在用於真空下含金屬氧化物膜之氣相沉積的反應器腔室中。氣相沉積可藉由CVD或ALD進行。含金屬氧化物膜可為光敏金屬有機氧化物膜,像是例如有機錫氧化物(如鹵化烷基錫、烷氧基烷基錫、或醯胺基烷基錫)。一些合適的前驅物的具體範例包括三甲基氯化錫、二甲基二氯化錫、三氯甲基錫、三(二甲胺基)甲基錫(IV)、與(二甲胺基)三甲基錫(IV)。膜層可藉由PECVD或PEALD沉積(例如使用Lam Vector®工具),在ALD實施例中將錫氧化物前驅物與氧前驅物/電漿分離。沉積溫度可介於50℃與600℃之間。沉積壓力可在100與6000mtorr之間變化。含金屬氧化物膜之前驅物液體流速(例如有機錫氧化物前驅物)可介於0.01與10ccm之間,而氣體流速(CO2 、CO、Ar、N2 )可介於100與10000sccm之間。在使用高頻電漿(例如,13.56MHz、27.1MHz、或更高)之情形下,電漿功率可介於每300mm晶圓站200與1000W之間。沉積厚度可介於100與2000Å之間。
在一實施例中,含金屬氧化物膜102的覆蓋層可在電漿增強化學氣相沉積(PECVD)反應器(如可由Lam Research Corporation, Fremont, CA取得的Vector® CVD工具)中藉由合適的前驅物之沉積形成。例如,沉積可包括有機錫氧化物與RF電漿中之二氧化碳的反應,像是藉由Sn(Cl)3 CH3 與RF電漿中之二氧化碳的CVD所形成的CH3 Sn(SnO)3 。用於此沉積之合適的製程條件包括介於約250℃與350℃之間(例如約350℃)的沉積溫度,及小於6Torr(例如在350℃下維持在介於1.5與2.5Torr之間)的反應器壓力、在使用高頻電漿(例如,13.56MHz或更高)之情形下之每300mm站200W的電漿功率/偏壓、介於約100與500ccm之間的有機錫氧化物前驅物流速、與介於約1000與2000sccm之間的CO2 流速。沉積厚度可介於約250與750Å之間。
為避免因水蒸氣之裂解,含錫氧化物膜之形成與移轉在真空環境中進行。所形成的膜層隨後移轉至EUV圖案化工具,並經由直接曝光圖案化(不使用光阻)並顯影(如圖1C至D所示)。
應注意EUVL工具通常在比沉積工具更高的真空下操作。若為此情況,則期望在自沉積移轉至圖案化工具期間增加基板的真空環境,以允許基板與沉積的含金屬氧化物膜在進入圖案化工具之前脫氣。這使得圖案化工具的光學件不因來自基板的脫氣而污染。
如圖1C所示,圖案化產生材料之未曝光的含金屬氧化物膜區域102a與曝光區域102b,其一者將藉由圖案顯影移除。在各種實施例中,EUV曝光在沉積的有機錫氧化物膜的已曝光部分中導致二聚反應。例如,沉積的有機錫氧化物膜可為CH3 Sn(SnO)3 ,且在EUV曝光時的二聚作用可在曝光部分中產生Sn2 ((SnO)3 )2 。在各種實施例中,曝光在真空環境中藉由EUV曝光(不使用光阻)直接圖案化含金屬氧化物膜,接著顯影以形成含金屬氧化物硬遮罩。
參照圖1D,在EUV曝光之後可隨後顯影圖案。基板可轉移至真空環境之外以進行圖案顯影。例如,Sn2 ((SnO)3 )2 的曝光部分102b可在真空環境之外藉由在顯影中的熱乙醇與水移除。期望可在圖案化工具之外進行圖案顯影,以避免含金屬氧化物膜裂解之任何不相容的副產物污染工具光學件。
圖3說明這些沉積、曝光/圖案化、與顯影製程(包括樣品前驅物與相關的反應)的化學。設備
圖4描繪具有真空整合之含金屬氧化物膜的氣相沉積與圖案化模組的半導體製程群集工具架構,其與真空傳送模組接合並適合執行本文所述製程。雖製程可在缺少這樣的真空整合設備下進行,然這樣的裝置在一些實施例中可具有優勢。
在多重儲存設施與工具處理模組之間「傳送」晶圓之傳送模組的配置可稱作「群集工具架構」系統。依據特定製程,含金屬氧化物膜沉積與圖案化模組為真空整合。真空傳送模組(VTM)438與四個處理模組420a至420d接合,其可個別最佳化以執行各種生產製程。作為範例,可執行處理模組420a至420d以執行凝結、沉積、蒸發、ELD、蝕刻、及/或其他半導體製程。例如,模組420a可為可自Lam Research Corporation, Fremont, CA取得的PECVD反應器(如Vector®工具),其適合用以進行如本文所述之含金屬氧化物膜的CVD沉積。且模組420b可為PEALD工具(如Lam Vector® ALD氧化物工具,適合進行如本文所述之含金屬氧化物膜的ALD沉積)。應理解圖式未必按比例繪製。
氣室442與446(亦稱為負載鎖或傳送模組)與VTM 438及圖案化模組440接合。例如,合適的圖案化模組可為TWINSCAN NXE: 3300B®平台(由ASML of Veldhoven, NL提供)。此工具結構允許工作件(如具有沉積的含金屬氧化物膜的基板)在真空下移轉,以不在曝光前反應。具有微影工具之沉積模組的整合藉由以下事實促進:在環境氣體(如H2 O、O2 等)造成入射光子之強光學吸收性的條件下,EUVL亦需要大幅降低的壓力。
氣室442可為「輸出」負載鎖,代表將基板自作為沉積模組420a的VTM 438傳送至圖案化模組440,而氣室446可為「進入」負載鎖,表示將基板自圖案化模組440傳送回VTM 438。為了基板的進入與外出,進入負載鎖446亦可提供至工具外部的接口。每一製程模組具有將模組接合至VTM 438的平面。例如,沉積製程模組420a具有平面436。在每一平面內,感測器(例如所示的感測器1至18)用以偵測當晶圓426在相對應站之間移動時的通過。圖案化模組440及氣室442與446可類似地裝配額外的平面與感測器(未顯示)。
主要VTM機器人422在模組(包括氣室442與446)之間傳送晶圓426。在一實施例中,機器人422具有一手臂,而在另一實施例中,機器人422具有兩手臂,其中每一手臂具有末端效應器424以挑選輸送用的晶圓(如晶圓426)。前端機器人444用以將晶圓426自輸出氣室442傳送至圖案化模組440中、自圖案化模組440傳送至進入氣室446。為了基板的進入與外出,前端機器人444亦可在進入負載鎖與工具外部之間輸送晶圓426。因進入氣室模組446具有匹配大氣與真空之間環境的能力,故晶圓426能在兩壓力環境之間移動而不受損。
應注意EUVL工具通常在比沉積工具更高的真空下操作。若為此情況,則期望在自沉積傳送至圖案化工具期間增加基板的真空環境,以允許基板與沉積的含金屬膜在進入圖案化工具之前脫氣。輸出氣室442可藉由將傳送的晶圓維持在較低壓力(不高於圖案化模組440中的壓力)一段時間並排出任何脫氣而提供此功能,使得圖案化工具440的光學件不受來自基板的脫氣污染。合適的輸出脫氣氣室壓力不超過1E-8 Torr。
在一些實施例中,系統控制器450(其可包括一或更多物理或邏輯控制器)控制一些或所有群集工具及/或其單獨模組的操作。應注意,控制器可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。系統控制器450可包括一或更多記憶裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似元件。用以執行適當的控制操作之指令在處理器上執行。這些指令可在與控制器相關的記憶裝置上儲存成編碼,或可透過網路提供該等。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括用以控制任何工具或模組操作之實施態樣的應用與大小之時序的指令。系統控制軟體可以任何適當的方式配置。例如,可寫入各種處理工具元件子程序或控制物件以控制執行各種製程工具製程所需之製程工具元件的操作。系統控制軟體可以任何合適的計算可讀編程語言編碼。 在一些實施例中,系統控制軟體包括用以控制上述各種參數之輸入/輸出控制(IOC)次序指令。例如,半導體製造製程之每一階段可包括以系統控制器執行的一或更多指令。例如,用以設定用於沉積、圖案化及/或顯影階段之製程條件的指令可包括在相對應的配方階段中。結論
EUV敏感的含金屬氧化物膜可在半導體基板上氣相沉積。 這樣的含金屬氧化物膜可隨後在真空環境中藉由EUV曝光直接圖案化,並顯影圖案以形成含金屬氧化物硬遮罩。以此方式,提供含金屬氧化物硬遮罩的形成製程,其結合藉由氣相沉積與光學微影技術之膜形成的步驟,而具有以實質上降低之成本使含金屬氧化物硬遮罩直接光圖案化的結果。
應理解,本文所述範例與實施例僅為說明性目的,並向該領域之技術人員建議各種變更或改變。雖各種細節為清楚之目的予以省略,然可實施各種設計替代例。因此,本範例應視為說明性而非限制性,且本揭露內容不受限於本文所提出之細節,而是可在隨附請求項之範圍中進行變更。
100‧‧‧基板
102‧‧‧膜層
102a‧‧‧區域
102b‧‧‧區域
420a‧‧‧模組
420b‧‧‧模組
420c‧‧‧模組
420d‧‧‧模組
422‧‧‧機械人
424‧‧‧效應器
426‧‧‧晶圓
436‧‧‧面
438‧‧‧模組
440‧‧‧模組
442‧‧‧氣室
444‧‧‧機械人
446‧‧‧氣室
450‧‧‧系統控制器
圖1A至D說明氣相沉積之含金屬氧化物硬遮罩的形成製程的代表性流程。
圖2提供使用激發的錫液滴之EUV源的發射光譜。
圖3說明所揭沉積、曝光/圖案化、與顯影製程(包括樣品前驅物與相關反應)之實施例的化學。
圖4描繪具有與真空輸送模組接合之氣相沉積與圖案化模組之半導體製程群集架構,其適合在真空整合設備中執行本文所述的製程。
100‧‧‧基板
102a‧‧‧區域
102b‧‧‧區域

Claims (20)

  1. 一種含金屬氧化物硬遮罩的形成方法,包含: 藉由一氣相沉積製程,在一半導體基板上沉積一EUV敏感的含金屬氧化物膜; 使該含金屬氧化物膜之一部分對EUV曝光,以在該金屬氧化物膜中形成一圖案; 顯影該含金屬氧化物膜中之該圖案,以移除已曝光或未曝光的部分其中一者,以形成該含金屬氧化物硬遮罩。
  2. 如申請專利範圍第1項之含金屬氧化物硬遮罩的形成方法,其中該沉積係以CVD或ALD進行。
  3. 如申請專利範圍第2項之含金屬氧化物硬遮罩的形成方法,其中該含金屬氧化物膜係一光敏感金屬有機氧化物之膜。
  4. 如申請專利範圍第3項之含金屬氧化物硬遮罩的形成方法,其中該金屬有機氧化物為有機錫氧化物。
  5. 如申請專利範圍第4項之含金屬氧化物硬遮罩的形成方法,其中該沉積包含選擇自以下者組成之群組的有機錫氧化物沉積前驅物:鹵化烷基錫、烷氧基烷基錫、與醯胺基烷基錫。
  6. 如申請專利範圍第5項之含金屬氧化物硬遮罩的形成方法,其中該沉積包含選擇自以下者組成之群組的沉積前驅物:三甲基氯化錫、二甲基二氯化錫、三氯甲基錫、三(二甲胺基)甲基錫(IV)、與(二甲胺基)三甲基錫(IV)。
  7. 如申請專利範圍第4項之含金屬氧化物硬遮罩的形成方法,其中該沉積包含有機錫氧化物與RF電漿中之二氧化碳的反應。
  8. 如申請專利範圍第7項之含金屬氧化物硬遮罩的形成方法,其中沉積的該有機錫氧化物膜包含由Sn(Cl)3 CH3 與RF電漿中之二氧化碳的CVD所形成的CH3 Sn(SnO)3
  9. 如申請專利範圍第4項之含金屬氧化物硬遮罩的形成方法,其中EUV曝光在沉積的該有機錫氧化物膜之已曝光部分中導致一二聚反應。
  10. 如申請專利範圍第9項之含金屬氧化物硬遮罩的形成方法,其中沉積的該有機錫氧化物膜包含CH3 Sn(SnO)3 ,且在EUV曝光時的二聚作用產生Sn2 ((SnO)3 )2
  11. 如申請專利範圍第10項之含金屬氧化物硬遮罩的形成方法,其中在顯影步驟中,移除Sn2 ((SnO)3 )2 曝光部分。
  12. 如申請專利範圍第11項之含金屬氧化物硬遮罩的形成方法,其中在顯影步驟中,該Sn2 ((SnO)3 )2 曝光部分係藉由熱乙醇與水移除。
  13. 如申請專利範圍第12項之含金屬氧化物硬遮罩的形成方法,其中該基板係傳送至真空環境之外以進行該圖案之顯影。
  14. 如申請專利範圍第1項之含金屬氧化物硬遮罩的形成方法,其中該曝光係在真空環境中藉由EUV曝光提供該含金屬氧化物膜的直接圖案化。
  15. 如申請專利範圍第1項之含金屬氧化物硬遮罩的形成方法,其中該半導體基板為包括部分形成的積體電路之一矽晶圓,且更包含: 在沉積之前,在用於含金屬氧化物膜沉積之一第一反應器腔室中提供該半導體基板;及 接續沉積之後,在真空下傳送該基板至用以圖案化之一微影處理腔室。
  16. 一種用以進行含金屬氧化物硬遮罩形成的設備,該設備包含: 一含金屬氧化物膜之氣相基礎的沉積模組; 一含金屬氧化物膜的圖案化模組; 一真空傳送模組,該真空傳送模組連接該沉積模組與該圖案化模組;及 一控制器,該控制器包含用以進行含金屬氧化物硬遮罩形成的指令,該指令包含用於以下者之編碼: 在該含金屬氧化物膜之氣相基礎的沉積模組中,在一半導體基板上藉由一氣相基礎之沉積製程沉積一EUV敏感的含金屬氧化物膜; 在真空下傳送該基板至該含金屬氧化物膜的圖案化模組; 在該含金屬氧化物膜的圖案化模組中,於真空環境中藉由EUV曝光直接地圖案化該含金屬氧化物膜;及 顯影該圖案以形成該含金屬氧化物硬遮罩。
  17. 如申請專利範圍第16項之用以進行含金屬氧化物硬遮罩形成的設備,其中: 該沉積模組包含用以氣相沉積一光敏有機錫氧化物膜的反應器腔室;及 該圖案化模組包含具有次30nm波長輻射源之一光微影工具。
  18. 如申請專利範圍第17項之用以進行含金屬氧化物硬遮罩形成的設備,其中該沉積模組為一PECVD工具。
  19. 如申請專利範圍第18項之用以進行含金屬氧化物硬遮罩形成的設備,其中該圖案化模組為一EUV微影工具。
  20. 如申請專利範圍第19項之用以進行含金屬氧化物硬遮罩形成的設備,其中該指令更包含用於以下者之指令:傳送該基板至該EUV微影工具之外以進行該圖案的顯影。
TW105137362A 2015-11-20 2016-11-16 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化 TWI730015B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/948,109 US9996004B2 (en) 2015-11-20 2015-11-20 EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US14/948,109 2015-11-20

Publications (2)

Publication Number Publication Date
TW201729006A true TW201729006A (zh) 2017-08-16
TWI730015B TWI730015B (zh) 2021-06-11

Family

ID=58720855

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105137362A TWI730015B (zh) 2015-11-20 2016-11-16 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
TW110118172A TWI787829B (zh) 2015-11-20 2016-11-16 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110118172A TWI787829B (zh) 2015-11-20 2016-11-16 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化

Country Status (4)

Country Link
US (1) US9996004B2 (zh)
JP (2) JP6902849B2 (zh)
KR (1) KR20170066225A (zh)
TW (2) TWI730015B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111123652A (zh) * 2018-10-30 2020-05-08 台湾积体电路制造股份有限公司 微影图案化的方法
CN114026501A (zh) * 2019-06-26 2022-02-08 朗姆研究公司 利用卤化物化学品的光致抗蚀剂显影
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6993982B2 (ja) * 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20240019399A (ko) 2017-11-20 2024-02-14 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
EP3791231A4 (en) * 2018-05-11 2022-01-26 Lam Research Corporation METHODS FOR MAKING EUV PATTERNABLE HARD MASKS
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3807721A4 (en) 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102307977B1 (ko) 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11031244B2 (en) 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023196A (ko) * 2018-08-23 2020-03-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
JP7213642B2 (ja) * 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2022501824A (ja) * 2018-09-21 2022-01-06 ラム リサーチ コーポレーションLam Research Corporation 金属酸化物のエッチングおよびチャンバ構成要素の保護
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102385745B1 (ko) * 2019-02-01 2022-04-11 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020176181A1 (en) 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114365044A (zh) 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
JP2022540789A (ja) * 2019-06-28 2022-09-20 ラム リサーチ コーポレーション 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11550222B2 (en) 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7326077B2 (ja) 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR102446362B1 (ko) 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20220149713A (ko) * 2020-02-27 2022-11-08 오레곤 스테이트 유니버시티 주석계 포토레지스트 조성물 및 그 제조 방법
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2023515693A (ja) * 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
WO2022016123A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Dry deposited photoresists with organic co-reactants
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220100087A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist for semiconductor fabrication
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11079682B1 (en) * 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220308453A1 (en) * 2021-03-24 2022-09-29 Applied Materials, Inc. Oxidation treatment for positive tone photoresist films
KR20230162611A (ko) * 2021-03-26 2023-11-28 제이에스알 가부시끼가이샤 반도체 기판의 제조 방법 및 레지스트 하층막 형성용 조성물
JPWO2022209816A1 (zh) * 2021-04-01 2022-10-06
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023096894A1 (en) * 2021-11-24 2023-06-01 Entegris, Inc. Organotin precursor compounds
US20230391803A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240141497A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Dielectric film surface restoration with reductive plasma

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
EP2317382B1 (en) 2002-04-11 2016-10-26 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
AU2003290531A1 (en) 2002-10-21 2004-05-13 Nanoink, Inc. Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrication
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
KR100921932B1 (ko) * 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5541159B2 (ja) 2008-07-14 2014-07-09 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
KR101909567B1 (ko) * 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
US20130177847A1 (en) * 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10228618B2 (en) * 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111123652A (zh) * 2018-10-30 2020-05-08 台湾积体电路制造股份有限公司 微影图案化的方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN114026501A (zh) * 2019-06-26 2022-02-08 朗姆研究公司 利用卤化物化学品的光致抗蚀剂显影
TWI837391B (zh) * 2019-06-26 2024-04-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction

Also Published As

Publication number Publication date
TW202134467A (zh) 2021-09-16
US20170146909A1 (en) 2017-05-25
KR20170066225A (ko) 2017-06-14
US9996004B2 (en) 2018-06-12
JP7282830B2 (ja) 2023-05-29
TWI730015B (zh) 2021-06-11
JP6902849B2 (ja) 2021-07-14
JP2021165842A (ja) 2021-10-14
JP2017116923A (ja) 2017-06-29
TWI787829B (zh) 2022-12-21

Similar Documents

Publication Publication Date Title
TWI787829B (zh) 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
US11209729B2 (en) Vacuum-integrated hardmask processes and apparatus
US20220244645A1 (en) Photoresist development with halide chemistries
US20220344136A1 (en) Dry chamber clean of photoresist films
CN115398347A (zh) 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
US20220404713A1 (en) Dry Resist System and Method of Using
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
TW202215162A (zh) 用於圖案化輻射光阻圖案化的整合型乾式製程
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
TW202407467A (zh) 含金屬光阻的重工
TW202401131A (zh) 含金屬光阻的顯影後處理
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影