CN111123652A - 微影图案化的方法 - Google Patents

微影图案化的方法 Download PDF

Info

Publication number
CN111123652A
CN111123652A CN201911044481.5A CN201911044481A CN111123652A CN 111123652 A CN111123652 A CN 111123652A CN 201911044481 A CN201911044481 A CN 201911044481A CN 111123652 A CN111123652 A CN 111123652A
Authority
CN
China
Prior art keywords
layer
metal
metal compound
photoresist layer
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911044481.5A
Other languages
English (en)
Inventor
欧阳盼盼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111123652A publication Critical patent/CN111123652A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

根据一些实施例,本公开实施例提供一种微影图案化的方法。此方法包括:形成光阻层于基底上方;执行渗透制程将金属化合物引入此光阻层以增强此光阻层对极紫外光辐射的敏感度;使用此极紫外光辐射对此光阻层执行曝光制程;以及对此光阻层执行显影制程以形成图案化阻抗层。

Description

微影图案化的方法
技术领域
本公开实施例涉及半导体技术,特别涉及一种微影图案化的方法。
背景技术
半导体集成电路产业经历了指数型的成长。集成电路材料及设计上的技术进步已产生了数个世代的集成电路,其中每一世代皆比前一代具有更小且更复杂的电路。集成电路演进期间,功能密度(亦即,单位芯片面积的互连装置数目)通常会增加而几何尺寸(亦即,即可使用制程生产的最小元件(或线))却减少。此微缩化的过程通常会以增加生产效率与降低相关成本而提供助益。此微缩化也增加了集成电路制程及生产的复杂性。举例来说,随着半导体制造持续缩小间距至低于20nm节点,传统的i-ArF面临巨大的挑战。光学限制导致分辨率及微影性能无法达到目标。已将极紫外光(extreme ultraviolet,EUV)微影利用于支持较小装置的关键尺寸(critical dimension,CD)需求。极紫外光微影采用的扫描器使用极紫外光区中的辐射,其波长为约1nm至约100nm。一些极紫外光扫描器与某些光学扫描器类似,可提供4倍(4X)缩小投影晒像(projection printing)至涂布在基板上的阻抗膜(resist film)上,差别在极紫外线扫描器使用反射式光学元件而非折射式光学元件。极紫外光微影对光阻膜(photoresist film)施加了一组复杂的要求。ArF阻抗中的光酸产生剂(photo acid generator,PAG)吸收波长193nm的波并产生光酸,此酸具有1000倍的化学放大反应(chemical amplifier reaction,CAR),并使酸不稳定基(acid labile group,ALG)去保护(deprotect)。不过,现有的光阻对极紫外光不敏感。由于极紫外光工具的低电源功率及其他因素,光阻无法有效地产生足够的酸以达到所需的分辨率,导致各种图案化问题,例如线宽粗糙度及关键尺寸均匀度。为改善此领域,需要一种光阻及微影图案化的方法。
发明内容
本公开实施例提供一种微影图案化方法,包括:形成光阻层于基底之上;使用含金属前驱物对此光阻层执行渗透制程(infiltration process),以增强此光阻层对极紫外光辐射的敏感度;使用极紫外光辐射对此光阻层执行曝光制程;以及对此光阻层执行显影制程以形成图案化阻抗层。
本公开实施例提供一种微影图案化方法,包括:形成光阻层于基底之上;执行第一渗透制程以引入第一金属化合物于此光阻层之中,以增强此光阻层对极紫外光辐射的敏感度;使用极紫外光辐射对此光阻层执行曝光制程;执行第二渗透制程以引入第二金属化合物于此光阻层之中,以增强此光阻层的敏感度;以及对此光阻层执行显影制程以形成图案化光阻层。
本公开实施例一种微影图案化方法,包括:形成光阻层于半导体基底之上;执行第一渗透制程以引入第一金属化合物于此光阻层之中;执行第二渗透制程以引入第二金属化合物于此光阻层之中,第二金属化合物的组成与第一金属化合物的组成不同;使用极紫外光辐射对此光阻层执行曝光制程,第一及第二金属化合物均位于此光阻层中,其具有此光阻层对极紫外光辐射的增强敏感度;以及对此光阻层执行显影制程以形成图案化光阻层。
附图说明
以下将配合附图详述本公开实施例。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,可任意地放大或缩小元件的尺寸,以清楚地表现出本公开实施例的特征。
图1是根据一些实施例,示出微影图案化方法的流程图。
图2、图3、图4是根据多种实施例,示出微影图案化方法的流程图。
图5A、图5B、图5C、图5D、图5E、图5F及图5G是根据一些实施例,示出半导体结构在各种制造阶段的剖面示意图。
图6A及图6B是根据一些实施例,示出半导体结构在各种制造阶段的剖面示意图。
图7是根据一些实施例,示出半导体结构在各种制造阶段的剖面示意图。
图8是根据一些实施例,示出图5A的光阻材料。
其中,附图标记说明如下:
100、120、130、140 方法
102、104、106、106(1)、106(2)、106(3)、108、110、112、144、146、148、150、152、154、156 操作
106A、106B、106C、106D 步骤
200 半导体结构
202 基底
204 下层
204’ 图案化下层
206 阻抗层
206’ 图案化阻抗层
206a 未曝光部分
206b 曝光部分
207 金属化合物
208、212 含金属材料
208 第一前驱物
212 第二前驱物
216 第三前驱物
300 阻抗材料
302 聚合物
304 阻隔基
306 光酸产生剂
308 溶剂
具体实施方式
以下公开提供了许多的实施例或范例,用于实施本公开实施例的不同元件。各元件和其配置的具体范例描述如下,以简化本公开实施例的说明。当然,这些仅仅是范例,并非用以限定本公开实施例。举例而言,叙述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接触的实施例,也可能包含额外的元件形成在第一和第二元件之间,使得它们不直接接触的实施例。此外,本公开实施例可能在各种范例中重复参考数值以及/或字母。如此重复是为了简明和清楚的目的,而非用以表示所讨论的不同实施例及/或配置之间的关系。
并且,在随后的本公开实施例中,形成一部件于另一部件上、此部件连接及/或耦合至另一部件可能包含形成这些部件直接接触的实施例,也可能包含形成额外的部件插入这些部件之间,使得这些部件可能不直接接触的实施例。此外,空间相对用语,例如“较低的”、“较高的”、“水平”、“垂直”、“之上”、“上方”、“之下”、“下方”、“向上”、“向下”、“顶部”、“底部”等及其衍生的用语(例如“水平地”、“向下地”、“向上地”等)用于简化本公开实施例的一些部件与另一些部件的关系。空间相对用语用于涵盖包含部件的装置的不同取向。另外,当使用“约”、“近似”和类似的用语描述数字或数字范围时,此用语用于涵盖在合理范围的数字,包含所描述的数字,例如在所描述的数字的+/-10%内或本公开所属技术领域中技术人员所理解的其他数值。举例来说,用语“约5nm”涵盖4.5nm至5.5nm的尺寸范围。
本公开实施例一般关于半导体装置制造方法,特别是极紫外光辐射相关的微影图案化制程。微影图案化中,在光阻(或仅阻抗)膜曝光于辐射,例如极紫外光辐射后,将其在显影剂(化学溶液)中显影。显影剂移除部分的光阻膜(如正型(positive-tone)光阻中的曝光部分或负型(negative-tone)光阻中的未曝光部分),从而形成光阻图案,其可包括线(line)图案及/或沟槽(trench)图案。接着使用光阻图案作为后续蚀刻制程中的蚀刻遮罩(etch mask),将图案转移至下方的材料层。或者,在后续对下方的材料层,例如外延半导体层,施加的离子布植制程中,接着使用光阻图案作为离子布植遮罩。
一般而言,为尽可能产生最小的电路,大部分先进微影系统是为了使用非常短波长的光而设计,例如波长为200nm或低于200nm的深紫外光,或波长在约13.5nm区域的极紫外光。这些光源相对较弱,所以感光性(photosensitive)膜(如光阻)需要被设计为尽可能有效地利用此光。现今使用于微电子/纳米电子制造的光阻,其基本上采用化学放大的概念以提升光利用效率。
采用化学放大的光阻通常称为“化学放大阻抗(chemically amplified resist,CAR)”。光阻包括在半导体制造期间抵抗蚀刻或离子布植的聚合物;产酸化合物(acidgenerating compound)(如光酸产生剂);以及溶剂。在某些例子中,聚合物也包括对酸起反应的至少一种酸不稳定基。光酸产生剂吸收辐射能并产生酸。微影制程期间,在涂覆光阻至工件(例如半导体晶圆)前,将聚合物和光酸产生剂在溶剂中混合。光酸产生剂对极紫外光辐射不敏感。也就是进一步改善微影效率(例如分辨率/对比度、线宽粗糙度、及敏感度)遇到问题。由于极紫外光微影系统的电源功率有限,现有的光阻无法在微影曝光过程期间提供期望的分辨率及对比度的成像效果(imaging effect)。因此,本公开实施例的光阻还包括结合含金属化学品以增加光阻敏感度的方法。含金属的化学品可用作敏化剂(sensitizer)或通过其它机制增加敏感度,此将于之后进一步解释。举例而言,敏化剂对极紫外光辐射敏感,吸收极紫外光辐射并产生电子。因此,光酸产生剂吸收电子并产生酸。
将含金属化学品结合至光阻中需要具有不同组成(composition)的新设计光阻及合成新光阻的方法,其造价高昂。进一步而言,可能需要将光阻调整(tuned)使其具有其他物理特性,例如对特定制程的蚀刻抗性。这使得光阻的组成及对应光阻的合成更难以对准个别的图案化制程流程(process flow)。本公开实施例通过一方法解决上述问题,其包含一或多道在特定制造阶段的渗透,因此不需为极紫外光微影制程合成新光阻。
图1是在一些实施例中,根据本公开的各个面向所示出的图案化基底(例如,半导体晶圆)的方法100的流程图。为改善图案尺寸准确度,方法100可整体或部分地由采用具辐射的先进微影制程系统实施,例如极紫外光、或者其他辐射如深紫外光、电子束(e-beam)微影、或X射线微影。本公开实施例中,使用极紫外光微影为主要范例。在方法100之前、期间、及之后可提供额外的操作,且对于方法的附加实施例,可以取代、省略或调换所述的一些步骤。
图5A至图5F是根据一些实施例,建构出半导体结构200(或工件)在各种制造阶段的剖面图。以下连同图1及图5A至图5F描述方法100,其中半导体结构200是使用方法100的实施例制造。半导体结构200可为制造集成电路期间的中间工件或其部分。集成电路可包含逻辑电路、存储器结构、被动元件(如电阻器、电容器及电感器)、以及主动元件如二极管、场效晶体管、金属氧化半导体场效晶体管、互补金属氧化半导体晶体管、双极性晶体管、高压晶体管、高频晶体管、鳍式场效晶体管、其他三维场效晶体管,例如环绕式栅极(gate-all-around,GAA)场效晶体管、纳米线晶体管(nanowire transistor)、纳米片晶体管(nanosheet transistor)、及上述的组合。
参照图1连同图5A,方法100起始于具半导体结构200的操作102。半导体结构200包括基底202。在一实施例中,基底202为半导体基底(例如:晶圆)。此实施例的更进一步,基底202包括晶体结构中的硅。在替代实施例中,基底202包括其它元素半导体如锗、或化合物半导体如碳化硅、砷化镓、砷化铟、及磷化铟)。基底202包括一或多层材料或组成。基底202可包括绝缘体上覆硅(silicon-on-insulator,SOI)基底,其被施加应变/应力以增强性能、包括外延区、包括隔离区、包括掺杂区、包括一或多个半导体装置或其部分、包括导电及/或非导电层、及/或包括其它适合的部件与层。某些实施例中,基底202包括一对半导体材料(如硅和硅锗)或者由制作纳米线晶体管或纳米片晶体管的外延成长堆叠。
本公开实施例中,基底202包括将进行制程的下层(underlayer)(或材料层)204,例如将进行图案化或布植。举例而言,下层204为将进行图案化的硬遮罩层。在另一范例中,下层204为将进行离子布植的外延半导体层。然而,在另一实施例中,基底202可不包括下层。一实施例中,下层204为硬遮罩层,其包括材料如氧化硅、氮化硅、氮氧化硅(siliconoxynitride)、或其他适合的材料或组成。在一实施例中,下层204为抗反射涂层(anti-reflection coating,ARC),例如无氮抗反射涂层(nitrogen-free anti-reflectioncoating,NFARC),其包括材料如氧化硅、碳化硅氧(silicon oxygen carbide)、或晶等离子体增强(plasma enhanced)化学气相沉积的氧化硅。在各种实施例中,下层204可包括高介电常数介电层、栅极层、硬遮罩层、界面层、盖层、扩散/障壁层、介电层、导电层、其它适合的层、及/或上述的组合
在一些实施例中,半导体结构200可替代地为用于图案化半导体晶圆的光罩。此些实施例的更进一步,基底202为光罩基底,其可包括透明材料(如石英)、或低热膨胀(lowthermal expansion)材料,例如:氧化硅-氧化钛化合物。光罩基底可还包括将进行图案化的材料层。将此例更进一步,光罩可使用于:例如I线光(I-line light)辐射、或深紫外光(例如,通过氟化氪(KrF)准分子激光的248nm辐射或通过氟化氩(ArF)准分子激光的193nm辐射)。因此,下层204为将进行图案化以定义电路图案的材料层。举例而言,下层204为吸收层,如用于深紫外光光罩的铬层或其他适合的材料。
方法100进行至操作104,形成光阻层(或仅阻抗层)206于基底202上方(图5A),例如于下层204之上。阻抗层206具有蚀刻(或离子布植)抗性且对在微影曝光制程中使用的辐射具敏感性。本公开实施例中,阻抗层206对极紫外光辐射具敏感性。某些实施例中,阻抗层206包括用于微影图案化的双层或三层。举例而言,三层阻抗包括底层、底层上的中间层及中间层上的感光层。为了蚀刻选择性,底层及中间层被设计为具有不同的组成。此范例的更进一步,底层为富含碳的聚合物材料且中间层为富含硅的材料。在图5A中,仅示出感光层并将其称为阻抗层206。然而,这仅用于例示而非局限于此。其可包括附加层,例如在双层或三层阻抗架构(scheme)中的那些层。参照图5A,在一实施例中,通过旋转涂布制程以形成阻抗层206。某些实施例中,将阻抗层206进一步以软烘烤制程处理。此软烘烤制程相较一般的软烘烤具有较低的烘烤温度。例如此软烘烤制程的烘烤温度范围在60℃和80℃之间。
阻抗层206对辐射具敏感性,例如深紫外光、或极紫外光(如波长13.5nm的光)、电子束、及离子束。本公开实施例中,阻抗层206对极紫外光辐射具敏感性且使用于极紫外光微影制程中。不过阻抗层206的极紫外光敏感度需要经由方法100的后续操作增强。
图8呈现根据一些实施例所建构的阻抗层206的阻抗材料300。在此范例中,阻抗材料300利用化学放大阻抗材料。举例而言,化学放大阻抗材料为负型且包含聚合物材料,此聚合物材料与酸反应后转变为不可溶于显影剂,例如碱溶液。在另一例中,化学放大阻抗材料为正型且包含聚合物材料,此聚合物材料与酸反应后转变为可溶于显影剂。又另一例中,化学放大阻抗材料包含聚合物材料,此聚合物材料与酸反应后改变其极性。
阻抗材料300对辐射,例如微影系统的辐射源:极紫外光,具敏感性。然而如上所提,阻抗材料300对极紫外光不够敏感且因此需被加强(boosted)。阻抗材料300包括聚合物302,以提供对蚀刻(或离子布植)的抗性。在各种实施例中,聚合物302包括聚(降冰片烯)-共-马来酸酐(poly(norbornene)-co-malaic anhydride,COMA)聚合物、聚羟苯乙烯(polyhydroxystyrene,PHS)聚合物、或丙烯酸酯类(acrylate-based)聚合物。举例而言,丙烯酸酯类聚合物包括聚(甲基丙烯酸甲酯)(poly(methyl methacrylate,PMMA)聚合物。聚合物302也包括多个侧部(side location),其可与其它化学品形成化学键结。举例而言,PHS聚合物包括可与其它化学品形成化学键结的多个羟(OH)基。
一些范例中,阻抗材料300还包括阻隔基(blocking group)304,例如酸不稳定基ALG或对酸起反应的溶解抑制剂(dissolution inhibitor)。本公开实施例中,阻隔基304与聚合物302形成化学键结,例如在一范例中,与PHS的羟基形成键结。酸不稳定基为化学基(chemical group),其在阻抗层的曝光区中被光酸产生剂去保护。因此,已曝光的阻抗材料300可改变极性(polarity)及溶解度(dissolubility)。举例而言,已曝光的阻抗材料在显影剂(用于正型阻抗)中的溶解度增加或在显影剂(用于负型阻抗)中的溶解度减少。当微影曝光制程的曝光剂量达到剂量临界值(dose threshold)时,已曝光的阻抗材料将不溶于显影剂中,或者已曝光的阻抗材料将可溶于显影剂中。在一范例中,酸不稳定基包括叔丁氧羰基(t-butoxycarbonyl,tBOC)。
阻抗材料300包括产酸化合物,例如光酸产生剂306。光酸产生剂306吸收辐射能并产生酸。阻抗材料300亦包括溶剂308。在微影制程期间,将阻抗材料涂覆至工件(如半导体晶圆)前,将聚合物302与光酸产生剂306混合于溶剂308中。在某些实施例中,光酸产生剂306包括苯环(phenyl ring)。在特定的范例中,光酸产生剂306包括锍阳离子(sulfoniumcation),例如三苯基锍(triphenylsulfonium,TPS)基;以及阴离子,例如三氟甲磺酸酯阴离子(triflate anion)。特别是,光酸产生剂的阳离子和硫具有化学键结且具有额外的化学键,使得光酸产生剂306对电子(或其它类型的第二辐射)的敏感度(或吸收)增加。
现有的光酸产生剂基本上对极紫外光辐射不敏感。光酸产生剂或阻抗中的有机元素,例如碳、及氮在极紫外光光子吸收中较弱。本公开实施例中,涂布阻抗层206后的继续操作将处理阻抗层206,以进一步引入金属或金属氧化物来增强极紫外光敏感度及/或增加蚀刻抗性。
参照图1连同图5B,此方法包括操作106,对阻抗层206执行渗透制程,由此引入金属化合物207(例如金属、金属氧化物、或前述的组合)至阻抗层206之中。某些范例中,金属化合物207可包括金属氮化物。通过渗透制程106将阻抗层206的组成改变,其具有207的添加及获得不同的材料特性,例如增强的辐射敏感度及增加的蚀刻抗性。渗透制程期间,金属化合物207被渗透入阻抗层206中且结合至阻抗层206的聚合物材料中,例如与阻抗层206的聚合物材料起反应、分散至其中、或与其形成化学键结,如图8所示出。某些实施例中,金属化合物207的尺寸范围介于约2nm至约3nm之间。
渗透制程106可由原子层沉积技术或化学气相沉积技术实施。原子层沉积技术是具多次循环的循环过程(cyclic process)。每一循环还包含一个第一半循环(first halfcycle)及一个第二半循环(second half cycle)。循环次数决定结合至阻抗层206的金属化合物的量。以氧化铝(alumina)的金属化合物为一例。在第一半循环,前驱物为有机金属,例如三甲基铝(Al2(CH3)6,TMA)。有机金属前驱物与阻抗层206的聚合物材料起反应。在第二半循环,氧化剂,例如水,作为前驱物使用,和有机金属基(organometallic group)起反应以形成金属化合物。本公开实施例中,金属化合物为氧化铝。在这些半循环之间,施加氮气冲洗为后续的半循环预备阻抗层206的表面。原子层沉积制程的制程温度大于室温。根据一些实施例,范围介于例如80℃至100℃之间。举例而言,将基底202维持在制程温度,例如80℃至100℃之间。因此,在一个循环中,原子层沉积制程包括施加Al2(CH3)6前驱物、氮气冲洗、施加水、以及氮气冲洗。此为重复的多次循环,直到结合至阻抗层206中的氧化铝浓度达到预期。
在结合氧化铝至阻抗层206的化学气相沉积制程中,将Al2(CH3)6及水作为前驱物使用以形成位于阻抗层206的聚合物材料中的金属化合物。化学气相沉积制程的条件包括较高的温度,例如温度范围从80℃到100℃。某些范例中,将水保持在高温,例如温度在80℃到100℃的范围。在一些实施例中,将基底202维持在高温,例如介于80℃至100℃之间。结合至阻抗层206中的金属化合物207的量与渗透制程的各种参数相关,例如涂布温度及所对应的含金属前驱物的分压(像是Al2(CH3)6的分压)。某些实施例中,渗透至阻抗层206中的金属化合物207,其重量浓度范围介于约5%至约10%之间。
将阻抗层206进行渗透处理可包括金属化合物207非均匀地散布至阻抗层206中。此非均匀散布可通过选择适当的溶剂或利用金属化合物207的重量实现。在一范例中,阻抗层206中的金属化合物207的浓度具有从顶部表面往底部表面增加的梯度浓度。此梯度浓度导致非均匀的极紫外光敏感度,可以抵消微影曝光制程所造成的底脚问题(footingissue)。
一些实施例中,渗透制程106可使用旋转涂布,其用具适合溶剂的含金属化学品,例如丙二醇甲醚醋酸酯(propylene glycol monomethyl ether acetate,PGMEA),以引入金属化合物于阻抗层206之中。根据某些实施例,旋转速率范围介于500rpm至4000rpm之间。
渗透制程106期间,运用一些技术(例如旋转涂布),可将含金属材料208形成于阻抗层206之上,如图5C所示出。在此情况下,渗透制程106可包含多个步骤,如图1右侧所示出的步骤106A至106D。本公开实施例中,渗透制程106包含步骤106A,其将含金属材料208涂布到阻抗层206上,例如旋转涂布制程。为了较好的渗透,含金属材料208包括金属化合物207及溶剂,以便含金属材料208处于液态。
渗透制程106还包含步骤106B,其在浸渍时间(soaking time)期间将含金属材料208加热以增强渗透制程。在浸渍时间期间,含金属材料208中的金属化合物207被扩散至阻抗层206中且可进一步与阻抗层206的聚合物材料起反应。加热制程可直接或间接地对含金属材料208施加。一些范例中,将含金属材料208通过适合的加热机制加热,例如红外线辐射、微波、涡电流、电阻器、或前述的组合。在某些实施例中,对阻抗层206施加含金属溶液前,将含金属溶液前预先加热。
浸渍时间期间,金属化合物207(例如金属或金属氧化物)从含金属材料208被渗透至阻抗层206中且可进一步与阻抗层206的聚合物起反应,如通过扩散、吸收及/或在官能种类(functional species)(例如羰基C=O)上成核(nucleation)。金属化合物207可与聚合物形成化学键结,例如M-CH3键。结合至阻抗层206中的氧化铝的量与渗透制程106的各种参数相关,如浸渍时间及加热温度(例如范围介于80℃至100℃之间,根据某些范例)。所以氧化铝(或者其他金属或金属氧化物)的量是由步骤106A、步骤106B(如加热温度)控制且以随后的步骤106C移除(其起始时间决定浸渍的持续时间)。因此,为增强性能(包括极紫外光敏感度及蚀刻抗性),渗透至阻抗层206中的金属化合物207浓度可被微调且最佳化。
阻抗层206上的含金属材料208经过一适当的浸渍期间后,使得金属化合物207充分地(例如到预期的浓度)渗透至阻抗层206中,操作106可进行至步骤106C,使用适合的移除溶液(removal solution)执行一移除制程,将含金属材料208未渗透至阻抗层206中的多余部分移除。
在移除步骤(removal step)106C后,操作106可进行至步骤106D,烘烤阻抗层206使阻抗层206处于微影曝光制程的适当条件。本公开实施例中,在操作106的渗透制程前将阻抗层206预烤,使得渗透制程效率更高。烘烤制程可和软烘烤类似,不过其烘烤温度较软烘烤的烘烤温度低。举例而言,烘烤温度范围在60℃和80℃之间。步骤106D的烘烤制程是为驱出(drive out)溶剂或其他液体及为后续的曝光制程准备阻抗层206而设计。通过步骤106C移除多余的含金属材料208后,阻抗层206包含预期浓度的金属化合物207,其具有改良的(modified)特性(例如辐射敏感度及蚀刻抗性),如图5B所示出。
运用一些技术(如原子层沉积或化学气相沉积技术),渗透制程106可包括步骤106A至106D的子集。举例而言,在渗透制程中使用原子层沉积技术或化学气相沉积技术,步骤106B与原子层沉积同时实施。此范例的更进一步,在实施原子层沉积制程(或化学气相沉积制程)以结合金属化合物207至阻抗层206时,将基底202加热且维持在高温(例如在范围80℃至100℃之间)。在渗透制程106的某些范例中,步骤106C和106D可进一步相继地在原子层沉积或化学气相沉积制程完成后实施。
除了上述氧化铝作为金属化合物207的范例外,渗透制程还可使用不同的含金属前驱物以结合不同的金属化合物207(不同的金属或金属氧化物)至阻抗层206中,使用不同的含金属前驱物。举例而言,ZnO的金属化合物207可使用醋酸锌(zinc acetate)、氯化锌(zinc chloride)、及乙酰丙酮锌(zinc acetylacetonate)当作含金属前驱物。就TiO2的金属化合物207而言,带有水的异丙醇钛(titanium isopropoxide)或带有水的四氯化钛(titanium tetrachloride)可作为含金属前驱物使用。就钨(W)的金属化合物207而言,含金属前驱物可包括双(叔丁亚胺)双(叔丁胺)钨(bis(tert-butylimino)bis(tert-butylamino)tungsten)、双(叔丁亚胺)双(二甲胺)钨(Bis(tert-butylimino)bis(dimethylamino)tungsten)、双(环戊二烯)钨(Bis(cyclopentadienyl)tungsten)、六羰钨(Tungsten hexacarbonyl)、或二氢化双(环戊二烯)钨(Bis(cyclopentadienyl)tungstendihydride)。就铪(Hf)的金属化合物207而言,含金属前驱物可包括HfCl4或异丙醇铪异丙醇(Hafnium isopropoxide isopropanol)。就锡(Sn)的金属化合物207而言,含金属前驱物可包括六甲基二锡(Hexamethylditin,(CH3)6Sn2)。就锆(Zr)的金属化合物207而言,含金属前驱物可包括ZrCl4、或叔丁醇锆(IV)(Zirconium(IV)tert-butoxide)。
一些实施例中,渗透制程106包括使用有两种金属化合物(例如两种金属氧化物、两种金属、或一种金属加一种金属氧化物)的渗透制程。因此,通过一道渗透制程106,将两种金属化合物引入阻抗层206中。举例而言,渗透制程引入具适当浓度比例的透明金属化合物及吸收金属化合物,以增强阻抗层206的极紫外光敏感度。透明金属化合物指的是金属化合物对极紫外光微影曝光制程的极紫外光辐射具穿透性;且吸收金属化合物指的是金属化合物吸收极紫外光微影曝光制程的极紫外光辐射。在各种范例中,透明金属化合物包括Hf、Zr、Ti、其他透明金属、对应(corresponding)金属氧化物、或前述的组合。其他范例中,吸收金属化合物包括Sn、Al、其他吸收金属、对应金属氧化物、或前述的组合。透明金属化合物对极紫外光辐射具穿透性且不吸收极紫外光辐射。不过透明金属化合物造成极紫外光辐射散射且行进较远,因此增加了吸收金属化合物所吸收的极紫外光辐射。于是阻抗层206的极紫外光敏感度通过此两金属化合物增强,且当两者的比例调整至适当的范围,可将其最大化。举例而言,若吸收金属化合物有较高的吸收,则透明金属化合物的浓度可能需调低。除此之外,透明金属化合物的浓度需调高以增加吸收金属化合物所吸收的极紫外光辐射。
一些实施例中,操作106包括两道按序实施的渗透制程,例如106(1)及106(2),各自被设计为具有一种含金属前驱物以引入一种个别的金属,其与彼此不同。举例而言,第一渗透制程106(1)引入透明金属化合物且第二渗透制程106(2)引入吸收金属化合物。每一渗透制程106可包括步骤106A至106D或其子集。
参照图1及图5D,方法100进行至108,利用微影系统的极紫外光辐射对阻抗层206执行曝光制程。本公开实施例中,极紫外光辐射具有13.5nm的波长。108可在真空中执行。一些实施例中,在适当的曝光模式如步进扫描(step-and-scan)中,辐射束指向阻抗层206以形成定义于光罩(例如:透射光罩或反射光罩)上的电路图案的影像。通过光罩或曝光制程,可使用或实施各种分辨率增强方法,例如相位移(phase-shifting)、离轴照明(off-axisillumination,OAI)及/或光学邻近修正(optical proximity correction,OPC)。举例而言,光学邻近校正(OPC)特征可被结合至光罩上的电路图案。另一范例中,光罩为相位移光罩,例如交替式相位移光罩(alternative phase-shift mask)、衰减式相位偏移光罩(attenuated phase-shift mask)、或无铬相位移光罩(chrome-less phase-shift mask)。又一范例中,曝光制程在离轴照明模式中实施。一些其他的实施例中,辐射束是以预先定义的图案(例如IC布局)直接调节而不使用光罩(例如使用数字图案产生器(digital patterngenerator)或直写(direct-write)模式)。由于阻抗层206的敏感度通过渗透制程增强,且阻抗层的曝光临界值降低,例如低于20mJ/cm2。因此,根据本范例,以小于20mJ/cm2的剂量实施曝光制程。
108在曝光制程后可还包括其他步骤,例如热处理。本公开实施例中,108包括对半导体结构200,特别是对涂布在基底202上的阻抗层206,进行曝光后烘烤(post-exposurebaking,PEB)制程。在特定的实施例中,曝光后烘烤制程可在温度范围介于约120℃至约160℃间的热腔室中执行。
在108的曝光制程后,潜在图案(latent pattern)形成于阻抗层206上。阻抗层的潜在图案与阻抗层上的曝光图案相关,其通过,例如显影制程,最终变成实体的阻抗图案。阻抗层206的潜在图案包括未曝光部分206a及曝光部分206b。此情况下,阻抗层206的曝光部分206b被物理性或化学性地改变。在某些范例中,曝光部分206b通过聚合作用而改变,例如在负型阻抗中交联(cross-linked),或在正型阻抗中解聚(depolymerized)。其他范例中,曝光部分206b被去保护,诱发双调成像(dual-tone imaging)的极性变化(通过有机显影溶液或水性(aqueous)显影溶液选择性地移除曝光部分或未曝光部分)。
在曝光制程后,方法100也可包括曝光后烘烤制程。
参照图1及图5E,方法100进行至110,根据一些实施例,在显影剂中将曝光的阻抗层206显影。通过显影制程形成图案化阻抗层206’。在一些实施例中,阻抗层206为负型阻抗,且光阻层的曝光部分经交联而因此在显影制程之后留下。某些实施例中,阻抗层206在操作106之后经极性改变,且可实施双调显影制程(dual-tone developing process)。举例而言,阻抗层206的曝光部分从非极性状态(疏水状态)改变为极性状态(亲水状态),然后曝光部分206b将通过水性溶剂移除(正型成像),其水性溶剂例如四甲基氢氧化铵(tetramethyl ammonium hydroxide,TMAH),或者未曝光部分206a将通过有机溶剂移除(负型成像),其有机溶剂例如乙酸丁酯(butyl acetate)。在一些其它范例中,阻抗层206从极性状态改变为非极性状态,然后曝光部分206b将通过有机溶剂移除(正型成像)或未曝光部分206a将通过水性溶剂移除(负型成像)。
图5E所示出的一些范例中,将未曝光部分206a在显影制程中移除。在图5E所示的此范例中,图案化阻抗层206’以两条线的图案(two-line pattern)表示(此类型阻抗被称为负型阻抗)。然而,以下讨论同样适用于由沟槽表示的阻抗图案。
参照图1及图5F,方法100包括操作112,用图案化阻抗层206’作为遮罩,对半导体结构200执行制程,使制程仅对部分半导体结构200施加,其在图案化阻抗层206’的开口内,而被图案化阻抗层206'覆盖的其它部分受保护而不受制程的影响。在某些实施例中,制程包括使用图案化阻抗层206'作为蚀刻遮罩,对下层204施加蚀刻制程,从而将图案化光阻层206'的图案转移至下层204。在另一些实施例中,制程包括使用图案化阻抗层作为离子布植遮罩,对半导体结构200施加离子布植制程,从而在半导体结构200中形成各种掺杂部件(doped feature)。
本范例中,下层204为硬遮罩。将此实施例更进一步,将图案首先从图案化阻抗层206'转移到硬遮罩层204,接着到基底202的其它层。举例而言,使用干(等离子体)蚀刻、湿蚀刻、及/或其它蚀刻方法,通过图案化阻抗层206'的开口,可对硬遮罩进行蚀刻。干蚀刻制程可实施含氧气体、含氟气体、含氯气体、含溴气体、含碘气体、其他适合的气体及/或等离子体、及/或上述的组合。在硬遮罩204的蚀刻期间,图案化阻抗层206’可被部分地消耗。在一实施例中,图案化阻抗层206’的剩余部分可被剥离,留下基底202上的图案化硬遮罩204’,如图5G所示。
虽然图1中未示出,于上述操作之前、期间或之后,方法100可包括其他操作。在一实施例中,基底202是半导体基底且方法100进行至形成鳍状场效晶体管结构。此实施例中,方法100包括形成多个主动鳍片于半导体基底202中。此实施例的更进一步,操作110还包括通过图案化硬遮罩204’的开口,蚀刻基底202以形成沟槽于基底202中;以介电材料填充沟槽;执行化学机械研磨制程以形成浅沟槽隔离(STI)部件;并进行外延成长或使浅沟槽隔离部件凹陷以形成鳍状主动区。在另一实施例中,方法100包含其他操作以形成多个栅极电极于半导体基底202中。方法100可进一步形成栅极间隔物、掺杂源极/漏极区、用于栅极/源极/漏极部件的接点(contact)等等。在另一实施例中,将目标图案形成为多层互连结构中的金属线。举例而言,可将金属线形成于基底202的层间介电层(inter-layer dielectric,ILD)中,其已被操作110蚀刻以形成多个沟槽。方法100进行至用导电材料,例如金属,填充沟槽;并进一步使用如化学机械平坦化(CMP)的制程研磨导电材料,以暴露图案化层间介电层,从而形成金属线于层间介电层中。上述为装置/结构的非限制性范例,根据本公开实施例的各种方面,其可使用方法100及阻抗层206制造及/或改良。
运用本公开实施例的方法100,将阻抗层206的极紫外光敏感度及蚀刻抗性增强而不需引入新的阻抗材料,仅运用渗透制程,其在集成电路制造中具成本效益。此外,根据个别的应用,阻抗可经由渗透调整。在一些实施例中,通过渗透制程,结合至阻抗层的金属或金属氧化物可具有最高密度于顶部表面至最低密度于光阻层的底部表面的梯度浓度。
为增加极紫外光敏感度及蚀刻抗性,本公开实施例的微影制程运用渗透以结合金属或金属氧化物,可具其他实施例,例如以下由图2至图4所描述。方法中的一些操作与方法100中的操作类似且为了简化而不详细叙述。
图2是根据一些实施例所建构的方法120的流程图。方法120从图中的区块102开始,提供基底202,如图5A所示出。方法120进行至操作104,形成阻抗层206于基底202上。方法120包括两道以特定顺序实施的渗透制程。两道渗透制程分别称为第一渗透制程106(1)及第二渗透制程106(2)。
本例中,方法120进行至运用第一前驱物208对阻抗层206执行第一渗透制程106(1),将第一金属化合物207(第一金属或金属氧化物)引入,以增强阻抗层206的极紫外光敏感度,如图5B所示。某些实施例中,第一金属化合物207是选以增强极紫外光敏感度。或者,第一渗透制程106(1)可包含两道渗透程序(procedure),个别包括步骤106A至106D或前述的子集,其设计是为引入个别的金属化合物,例如一道用以引入吸收金属化合物及另一道用以引入透明金属化合物,此与方法100中所描述的类似。操作106(1)在曝光制程前实施。举例而言,操作106(1)包含对阻抗层206引入锡的一道渗透程序及引入铪的另一道渗透程序。
此后,方法120进行至108,对阻抗层206执行曝光制程,由此形成潜在图案于阻抗层206上,如图5D所示出。阻抗层206被进行第一渗透制程106(1)处理而对曝光制程具有增强的极紫外光敏感度。根据一些实施例,在曝光制程之后,方法120也可包括曝光后烘烤制程。
此后,方法120进行至运用适合的含金属前驱物,对曝光的阻抗层206执行第二渗透制程106(2),使另一金属化合物引入到阻抗层206。举例而言,通过适合的渗透制程(如前述的旋转涂布),可形成含金属材料212(类似图5C的含金属材料208)于阻抗层206上,如图6A所示。第二渗透制程106(2)是为增强阻抗层206的蚀刻抗性而设计。举例而言,第二渗透制程106(2)引入氧化铝至阻抗层206。第二渗透制程106(2)在曝光制程及曝光后烘烤制程的后实施,因此阻抗层206中具较少液体且处于第二渗透制程的较佳条件。
方法120也包括操作110,执行显影制程,由此形成图案化阻抗层206’,如图5E所示;且112对半导体结构200执行制程,例如将下层204图案化,以形成图案化下层204’,如图5F所示。
或者,在110的显影制程后实施操作106(2),如图6B所示。此利于第二渗透制程,因为图案化阻抗层206’具有较多可供渗透的表面,如顶部表面以及侧壁表面。第二前驱物212设置于图案化阻抗层206’的顶部表面及侧壁表面上,因此第二渗透制程更为有效。
图3是根据一些实施例所建构的方法130的流程图。方法130从区块102开始,提供基底202,如图5A所示出。方法130进行至操作104,形成阻抗层206于基底202上。
方法130进行至运用第一前驱物208,对阻抗层206施加第一渗透制程106(1),将第一金属或金属氧化物引入,以增强阻抗层206的极紫外光敏感度,如图5B所示。第一金属化合物207是选以增强极紫外光敏感度。或者,操作106(1)可包含两道渗透程序,个别包括步骤106A至106D或前述的子集,其设计是为引入个别的金属化合物207,例如一道用以引入吸收金属以及另一道用以引入透明金属,此与方法100中所描述的类似。操作106(1)在曝光制程前实施。举例而言,操作106(1)包含对阻抗层206引入锡的一道渗透及引入铪的另一道渗透。
此后,方法130进行至108,对阻抗层206执行曝光制程,由此形成潜在图案于阻抗层206上,如图5D所示出。阻抗层206被进行第一渗透制程106(1)处理而对曝光制程具有增强的极紫外光敏感度。在曝光制程之后,方法130也可包括曝光后烘烤制程。
此后,方法130进行至运用第二含金属前驱物,对曝光的阻抗层206施加第二渗透制程106(2),使第二金属化合物引入到阻抗层206。第二渗透制程106(2)是为增强阻抗层206的蚀刻抗性而设计。举例而言,第二渗透制程106(2)引入氧化铝至阻抗层206。操作106(2)在曝光制程后实施。
方法130也包括操作110,执行显影制程,由此形成图案化阻抗层206’,如图5E所示。
此后,方法130进行至运用第三前驱物216,对图案化阻抗层206’进行第三渗透制程106(3),使第三金属或金属氧化物引入至图案化阻抗层206’,如图7所示。此利于第三渗透制程,其原因与方法120中所述的相同。特别是第三前驱物216设置于图案化阻抗层206’的顶部表面及侧壁表面上,因此第三渗透制程更为有效。第二渗透制程106(2)及第三渗透制程106(3)是为了将两种不同的金属或金属氧化物引入而设计的,以增加阻抗层的蚀刻抗性。举例来说,为了较强的蚀刻抗性,第二渗透制程引入氧化铝以及第三渗透制程引入氮化铝(AlN)至阻抗层。
此外,第一渗透制程106(1);第二渗透制程106(2);及第三渗透制程106(3),各自可包括如上所述的步骤106A至106D。
方法130进行至112,对基底202执行制程,例如蚀刻制程,如图5F所示出。
图4是根据一些实施例所建构的微影制程方法140的流程图。为增强阻抗层的极紫外光敏感度,通过特定浓度比例的渗透,将吸收及透明金属化合物都引入至阻抗层中。如上所陈述,透明金属化合物对极紫外光辐射具穿透性且不吸收极紫外光辐射。然而,透明金属化合物造成极紫外光辐射散射且行进较远,因此增加吸收金属化合物所吸收的极紫外光辐射。于是阻抗层206的极紫外光敏感度通过此两金属化合物增强,且当两者的比例调整至适当的范围,可将其最大化。举例而言,若吸收金属化合物有较高的吸收,则透明金属化合物的浓度可能需调低。阻抗层206中的透明金属化合物的浓度过高可能降低极紫外光吸收且甚至降低分辨率,这是由于阻抗层中的极紫外光被吸收前可能行进较远的路径。除此之外,透明金属化合物的浓度需调高以增加吸收金属化合物所吸收的极紫外光辐射。另一方面,若仅使用吸收金属化合物且其吸收较高,曝光制程中的极紫外光辐射可能大致上都被阻抗层206的顶部部分吸收,在显影后由于阻抗深度及底脚问题造成非均匀的曝光。因此,方法140提供渗透程序,以最佳的浓度或比例引入吸收金属化合物及透明金属化合物。
方法140提供各种操作,例如前述的操作102、104、108、110及112。方法140也包括操作106,在为增加阻抗层206的极紫外光敏感度的108的曝光制程前对阻抗层206执行渗透制程。然而,渗透制程106引入透明金属化合物及吸收金属化合物两者的最佳浓度。某些实施例中,最佳浓度由操作144及146中所述的模拟决定,或者由操作148至156中所述的实验决定。方法140可包含曝光制程后的额外渗透制程,如方法100、120及130中所述。每一渗透制程可包括步骤106A至106D。
在模拟程序中,方法140包括操作144,以各别浓度的透明金属化合物及吸收金属化合物对极紫外光阻抗层执行模拟制程;以及操作146,根据阻抗层的极紫外光敏感度决定最佳浓度。于是方法140提供最佳浓度予操作106的渗透制程。
在实验程序中,方法140从操作148开始,准备以阻抗层涂布且以各别浓度的透明金属化合物及吸收金属化合物渗透的晶圆;操作150,以给定的剂量对阻抗层执行曝光制程;操作152,执行显影制程以形成图案化阻抗层;操作154,测量晶圆上图案化阻抗层的轮廓;操作156,根据测量的轮廓决定最佳浓度。于是方法140提供最佳浓度予操作106的渗透制程。
本公开实施例提供以渗透制程结合金属或金属氧化物至阻抗层中的微影制程,从而增强阻抗层在极紫外光微影期间的极紫外光敏感度及蚀刻抗性。方法可包括多道渗透制程及一些在曝光制程后实施的渗透制程。通过实施各种实施例所公开的方法,可呈现以下所述的一些优点。然而应理解的是,于此公开的不同实施例提供不同的优点且未必所有实施例都需要特定的优点。举例而言,不需要采用新的阻抗材料,其不具成本效益且非特定应用(application specific)。另一例中,渗透制程可为个别应用调整。此外,阻抗材料的极紫外光敏感度及蚀刻抗性皆被强化。一些其他范例中,由于增加的蚀刻抗性,可排除硬遮罩而不用。
在一方面,本公开实施例提供半导体制造方法。此方法包括形成光阻层于基底上;执行渗透制程以引入金属化合物于此光阻层中,以增强此光阻层对极紫外光辐射的敏感度;使用极紫外光辐射对此光阻层执行曝光制程;以及对此光阻层执行显影制程以形成图案化光阻层。
本公开实施例的另一方面涉及半导体制造方法。此方法包括形成光阻层于基底上;使用第一含金属化学品对此光阻层执行第一渗透制程,以增强此光阻层对极紫外光辐射的敏感度;使用极紫外光辐射对此光阻层执行曝光制程;使用第二含金属化学品对此光阻层执行第二渗透制程,此第二含金属化学品与此第一含金属化学品不同;以及对光阻层执行显影制程以形成图案化光阻层。
本公开实施例的又另一方面涉及半导体结构。此半导体结构包括形成光阻层于半导体结构之上;执行第一渗透制程以引入第一金属于此光阻层之中;执行第二渗透制程以引入第二金属于此光阻层之中,此第二金属与此第一金属不同;使用极紫外光辐射对此光阻层执行曝光制程,此第一及此第二金属均位于此光阻层中,增强此光阻层对极紫外光辐射的敏感度;以及对此光阻层执行显影制程以形成一图案化光阻层。
在一些实施例中,执行渗透制程的步骤包括:执行渗透制程以对光阻层引入第一金属化合物及第二金属化合物,其中此第二金属化合物的组成与此第一金属化合物的组成不同。此第一金属化合物包含锡(Sn)且此第二金属化合物包含铪(Hf)。此第一金属化合物对极紫外光辐射具有吸收性(absorptive)且此第二金属化合物对极紫外光辐射具有穿透性(transparent)。此第一金属化合物包含下列之一:锡(Sn)、铝(Al)、氧化锡(tin oxide)、氧化铝(alumina)、及前述的组合;以及此第二金属包含下列之一:铪(Hf)、锆(Zr)、钛(Ti)、氧化铪(hafnium oxide)、氧化锆(zirconium oxide)、氧化钛(titanium oxide)、及前述的组合。
在一些实施例中,含金属前驱物被设计以引入具有第一浓度的第一金属化合物及具有第二浓度的第二金属化合物,其中此第一及此第二浓度对应于光阻层对极紫外光辐射的最大化敏感度。
在一些实施例中,渗透制程为第一渗透制程,金属化合物为第一金属化合物,以及此第一渗透制程的实施是在执行曝光制程之前,且还包括:于执行此曝光制程之后,实施第二渗透制程,以引入第二金属化合物于光阻层之中,此第二金属化合物的组成与此第一金属化合物的组成不同。在某些实施例中,还包括执行第三渗透制程于执行显影制程之后,以引入第三金属化合物于此光阻层之中,从而增强此光阻层的蚀刻抗性,此第三金属化合物的组成与此第一和此第二金属化合物的组成不同。
在一些实施例中,执行渗透制程包括涂布含金属材料于光阻上,以及加热此含金属材料。加热此含金属材料包括于对此光阻层涂布此含金属材料之前,加热此含金属材料至给定高温。加热此含金属材料包括使用微波辐射及红外线辐射其中的一加热此含金属材料。加热此含金属材料包括加热该基底。
在一些实施例中,执行渗透制程包括执行此渗透制程以引入金属化合物,以增强此光阻层对极紫外光辐射的敏感度及此光阻层的蚀刻抗性。
在一些实施例中,执行第一渗透制程的步骤包括:于执行曝光制程之前,使用第一含金属前驱物执行此第一渗透制程,以对光阻层引入第一金属化合物;以及执行第二渗透制程的步骤包括:于执行此曝光制程之后,使用第二含金属前驱物执行此第二渗透制程,以对此光阻层引入第二金属化合物,其中此第二金属化合物的组成与此第一金属化合物的组成不同。执行此第一渗透制程的步骤包括:于执行此曝光制程之前,执行此第一渗透制程,以对此光阻层引入第一透明金属化合物;以及执行此第二渗透制程的步骤包括:于执行此曝光制程之前,执行此第二渗透制程,以对此光阻层引入此第二金属化合物,其中此第二金属与此第一金属不同。此第一金属化合物为一吸收(absorbing)金属化合物,包含下列之一:锡、铝、氧化锡、氧化铝、及前述的组合;以及此第二金属化合物为透明(transparent)金属化合物,包含下列之一:铪、锆、钛、氧化铪、氧化锆、氧化钛、及前述的组合。某些实施例中,还包括于显影制程之后,执行第三渗透制程,以引入第三金属化合物于此光阻层之中,以增强蚀刻抗性,此第三金属化合物的组成与此第一和此第二金属化合物的组成不同。一些实施例中,执行此第一渗透制程包括执行此第一渗透制程以对此光阻层引入此第一金属化合物,使其具有最高密度于一底部表面至最低密度于此光阻层的一顶部表面的梯度浓度。
以上概述数个实施例的特点,以便在本公开所属技术领域中技术人员可更好地了解本公开的各个方面。在本公开所属技术领域中技术人员,应理解其可轻易地利用本公开实为基础,设计或修改其他制程及结构,以达到和此中介绍的实施例的相同的目的及/或优点。在本公开所属技术领域中技术人员,也应理解此类等效的结构并无背离本公开的精神与范围,且其可于此作各种的改变、取代、和替换而不背离本公开的精神与范围。

Claims (1)

1.一种微影图案化方法,包括:
形成一光阻层于一基底之上;
使用一含金属前驱物对该光阻层执行一渗透制程,以增强该光阻层对一极紫外光辐射的敏感度;
使用该极紫外光辐射对该光阻层执行一曝光制程;以及
对该光阻层执行一显影制程以形成一图案化阻抗层。
CN201911044481.5A 2018-10-30 2019-10-30 微影图案化的方法 Pending CN111123652A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862752692P 2018-10-30 2018-10-30
US62/752,692 2018-10-30
US16/444,175 US10845704B2 (en) 2018-10-30 2019-06-18 Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US16/444,175 2019-06-18

Publications (1)

Publication Number Publication Date
CN111123652A true CN111123652A (zh) 2020-05-08

Family

ID=70328295

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911044481.5A Pending CN111123652A (zh) 2018-10-30 2019-10-30 微影图案化的方法

Country Status (3)

Country Link
US (3) US10845704B2 (zh)
CN (1) CN111123652A (zh)
TW (1) TWI827718B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
JP7213642B2 (ja) * 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR20210015655A (ko) * 2019-07-30 2021-02-10 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
CN113376960A (zh) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
US12002675B2 (en) * 2020-06-18 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer outgassing prevention
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
WO2022173632A1 (en) * 2021-02-12 2022-08-18 Lam Research Corporation Quantum efficient photoresists and methods thereof
CN117461113A (zh) * 2021-06-30 2024-01-26 应用材料公司 金属氧化物渗入光刻胶中的方法
WO2023243410A1 (ja) * 2022-06-14 2023-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2024024922A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450408A (zh) * 2001-10-31 2003-10-22 联华电子股份有限公司 改善光学微影制程解析度的方法
CN1635611A (zh) * 2003-12-30 2005-07-06 旺宏电子股份有限公司 应用于微影制程的结构及半导体元件的制造方法
JP2014175358A (ja) * 2013-03-06 2014-09-22 Tokyo Electron Ltd 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US20160238945A1 (en) * 2013-07-03 2016-08-18 Kempur Microelectronics, Inc. Novel photoresist stripper and application process thereof
WO2016172737A1 (en) * 2015-04-22 2016-10-27 Robinson Alex Phillip Graham Sensitivity enhanced photoresists
TW201729006A (zh) * 2015-11-20 2017-08-16 蘭姆研究公司 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
US20170271150A1 (en) * 2016-03-18 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US20170315447A1 (en) * 2016-05-02 2017-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme Ultraviolet Lithography with Reduced Exposure Dose and Negative Tone Development
US20170343896A1 (en) * 2011-03-24 2017-11-30 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
CN108227392A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 微影图案化的方法
US20190163056A1 (en) * 2017-11-24 2019-05-30 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10656527B2 (en) * 2017-12-21 2020-05-19 International Business Machines Corporation Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1450408A (zh) * 2001-10-31 2003-10-22 联华电子股份有限公司 改善光学微影制程解析度的方法
CN1635611A (zh) * 2003-12-30 2005-07-06 旺宏电子股份有限公司 应用于微影制程的结构及半导体元件的制造方法
US20170343896A1 (en) * 2011-03-24 2017-11-30 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
JP2014175358A (ja) * 2013-03-06 2014-09-22 Tokyo Electron Ltd 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US20160238945A1 (en) * 2013-07-03 2016-08-18 Kempur Microelectronics, Inc. Novel photoresist stripper and application process thereof
WO2016172737A1 (en) * 2015-04-22 2016-10-27 Robinson Alex Phillip Graham Sensitivity enhanced photoresists
TW201729006A (zh) * 2015-11-20 2017-08-16 蘭姆研究公司 氣相沉積的含金屬氧化物硬遮罩之極紫外光圖案化
US20170271150A1 (en) * 2016-03-18 2017-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US20170315447A1 (en) * 2016-05-02 2017-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme Ultraviolet Lithography with Reduced Exposure Dose and Negative Tone Development
CN108227392A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 微影图案化的方法
US20190163056A1 (en) * 2017-11-24 2019-05-30 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
VANELDEREN等: "Impact of Sequential Infiltration Synthesis (SIS) on roughness and stochastic nano-failures for EUVL patterning", EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY X, vol. 10957, 31 December 2019 (2019-12-31), pages 1 - 15, XP060117082, DOI: 10.1117/12.2515503 *
蔡积庆: "无掩模布线形成技术", 印制电路信息, no. 04, 31 December 2008 (2008-12-31), pages 14 - 18 *

Also Published As

Publication number Publication date
US10845704B2 (en) 2020-11-24
TWI827718B (zh) 2024-01-01
US11487207B2 (en) 2022-11-01
TW202018766A (zh) 2020-05-16
US20210080832A1 (en) 2021-03-18
US20200133131A1 (en) 2020-04-30
US20230055135A1 (en) 2023-02-23

Similar Documents

Publication Publication Date Title
US11487207B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10825684B2 (en) Material composition and methods thereof
US9921480B2 (en) Extreme ultraviolet photoresist
TW201946107A (zh) 半導體裝置的形成方法
US20200335349A1 (en) Method Composition and Methods Thereof
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US10520821B2 (en) Lithography process with enhanced etch selectivity
CN108333866B (zh) 光刻图案化的方法
US11003076B2 (en) Extreme ultraviolet photoresist and method
CN108231550B (zh) 半导体装置的制作方法
TWI831108B (zh) 製造半導體裝置的方法
TWI761987B (zh) 負光阻及其顯影的方法
CN110941148B (zh) 半导体装置的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination