TW202117468A - 利用鹵化物化學品的光阻顯影 - Google Patents
利用鹵化物化學品的光阻顯影 Download PDFInfo
- Publication number
- TW202117468A TW202117468A TW109121680A TW109121680A TW202117468A TW 202117468 A TW202117468 A TW 202117468A TW 109121680 A TW109121680 A TW 109121680A TW 109121680 A TW109121680 A TW 109121680A TW 202117468 A TW202117468 A TW 202117468A
- Authority
- TW
- Taiwan
- Prior art keywords
- photoresist
- processing
- photo
- euv
- semiconductor substrate
- Prior art date
Links
- 238000011161 development Methods 0.000 title claims abstract description 213
- 150000004820 halides Chemical class 0.000 title claims abstract description 40
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 277
- 239000000758 substrate Substances 0.000 claims abstract description 158
- 239000002184 metal Substances 0.000 claims abstract description 142
- 229910052751 metal Inorganic materials 0.000 claims abstract description 141
- 239000004065 semiconductor Substances 0.000 claims abstract description 113
- 238000000151 deposition Methods 0.000 claims abstract description 51
- 229910044991 metal oxide Inorganic materials 0.000 claims abstract description 35
- 229910000039 hydrogen halide Inorganic materials 0.000 claims abstract description 22
- 239000012433 hydrogen halide Substances 0.000 claims abstract description 22
- 238000012545 processing Methods 0.000 claims description 321
- 238000000034 method Methods 0.000 claims description 140
- 239000007789 gas Substances 0.000 claims description 103
- 239000000126 substance Substances 0.000 claims description 97
- 238000005530 etching Methods 0.000 claims description 63
- 239000000463 material Substances 0.000 claims description 55
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 45
- 150000004706 metal oxides Chemical class 0.000 claims description 35
- 229910052739 hydrogen Inorganic materials 0.000 claims description 28
- 239000000203 mixture Substances 0.000 claims description 27
- -1 carbonyl halide Chemical class 0.000 claims description 26
- 239000001257 hydrogen Substances 0.000 claims description 25
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims description 21
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 19
- 239000011261 inert gas Substances 0.000 claims description 19
- 238000010438 heat treatment Methods 0.000 claims description 18
- 229910052734 helium Inorganic materials 0.000 claims description 18
- 239000013078 crystal Substances 0.000 claims description 17
- 229910000041 hydrogen chloride Inorganic materials 0.000 claims description 17
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims description 17
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 16
- 239000000460 chlorine Substances 0.000 claims description 15
- 239000001307 helium Substances 0.000 claims description 15
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 15
- 150000002367 halogens Chemical class 0.000 claims description 14
- 229910052736 halogen Inorganic materials 0.000 claims description 13
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 11
- 238000004528 spin coating Methods 0.000 claims description 10
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 9
- 238000009833 condensation Methods 0.000 claims description 8
- 230000005494 condensation Effects 0.000 claims description 8
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 claims description 8
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 7
- 150000001266 acyl halides Chemical class 0.000 claims description 6
- 229910052718 tin Inorganic materials 0.000 claims description 6
- 239000012159 carrier gas Substances 0.000 claims description 5
- 238000005260 corrosion Methods 0.000 claims description 5
- 239000004033 plastic Substances 0.000 claims description 5
- 229910052787 antimony Inorganic materials 0.000 claims description 4
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 claims description 4
- 229910052786 argon Inorganic materials 0.000 claims description 4
- 229910052797 bismuth Inorganic materials 0.000 claims description 4
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 4
- 229910052794 bromium Inorganic materials 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- 229910052731 fluorine Inorganic materials 0.000 claims description 4
- 229910052732 germanium Inorganic materials 0.000 claims description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 4
- 229910052735 hafnium Inorganic materials 0.000 claims description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 4
- 229910052738 indium Inorganic materials 0.000 claims description 4
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 4
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 claims description 4
- 229910052714 tellurium Inorganic materials 0.000 claims description 4
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 claims description 4
- 239000011737 fluorine Substances 0.000 claims description 3
- 150000002431 hydrogen Chemical class 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 2
- 230000007797 corrosion Effects 0.000 claims description 2
- 239000003112 inhibitor Substances 0.000 claims description 2
- 229910052740 iodine Inorganic materials 0.000 claims description 2
- 239000011630 iodine Substances 0.000 claims description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 1
- 239000010953 base metal Substances 0.000 claims 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims 1
- 239000010408 film Substances 0.000 abstract description 95
- 238000000059 patterning Methods 0.000 abstract description 46
- 239000010409 thin film Substances 0.000 abstract description 4
- 230000008569 process Effects 0.000 description 82
- 235000012431 wafers Nutrition 0.000 description 68
- 230000008021 deposition Effects 0.000 description 39
- 239000010410 layer Substances 0.000 description 35
- 239000000376 reactant Substances 0.000 description 35
- 238000011282 treatment Methods 0.000 description 28
- 238000004140 cleaning Methods 0.000 description 22
- 238000012546 transfer Methods 0.000 description 22
- 239000002243 precursor Substances 0.000 description 20
- 125000002524 organometallic group Chemical group 0.000 description 19
- 238000005516 engineering process Methods 0.000 description 18
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 17
- 230000005855 radiation Effects 0.000 description 17
- 239000003570 air Substances 0.000 description 15
- 238000004132 cross linking Methods 0.000 description 14
- 238000009499 grossing Methods 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000001459 lithography Methods 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 238000010521 absorption reaction Methods 0.000 description 12
- 238000009616 inductively coupled plasma Methods 0.000 description 12
- 150000002500 ions Chemical class 0.000 description 12
- 238000002156 mixing Methods 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 11
- 150000003254 radicals Chemical class 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 230000000694 effects Effects 0.000 description 9
- 239000007788 liquid Substances 0.000 description 9
- 238000000206 photolithography Methods 0.000 description 9
- 238000001878 scanning electron micrograph Methods 0.000 description 9
- YVAJNKTWVAROBF-UHFFFAOYSA-N CN(C)[Sn] Chemical compound CN(C)[Sn] YVAJNKTWVAROBF-UHFFFAOYSA-N 0.000 description 8
- 238000003384 imaging method Methods 0.000 description 8
- 230000007246 mechanism Effects 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 241000208340 Araliaceae Species 0.000 description 7
- 235000005035 Panax pseudoginseng ssp. pseudoginseng Nutrition 0.000 description 7
- 235000003140 Panax quinquefolius Nutrition 0.000 description 7
- 125000000217 alkyl group Chemical group 0.000 description 7
- 125000004429 atom Chemical group 0.000 description 7
- 238000003795 desorption Methods 0.000 description 7
- 238000001035 drying Methods 0.000 description 7
- 230000006870 function Effects 0.000 description 7
- 235000008434 ginseng Nutrition 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- 229910000043 hydrogen iodide Inorganic materials 0.000 description 6
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 6
- 150000002739 metals Chemical class 0.000 description 6
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 6
- 239000011295 pitch Substances 0.000 description 6
- 238000011160 research Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 238000007740 vapor deposition Methods 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 230000005540 biological transmission Effects 0.000 description 5
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 238000001723 curing Methods 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000012071 phase Substances 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 5
- 229910001887 tin oxide Inorganic materials 0.000 description 5
- 230000008016 vaporization Effects 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000004891 communication Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000009826 distribution Methods 0.000 description 4
- 238000005108 dry cleaning Methods 0.000 description 4
- 238000000469 dry deposition Methods 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 4
- 239000004810 polytetrafluoroethylene Substances 0.000 description 4
- 238000003672 processing method Methods 0.000 description 4
- 230000035945 sensitivity Effects 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000002904 solvent Substances 0.000 description 4
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 3
- 229910009027 Sn—OH Inorganic materials 0.000 description 3
- 239000012080 ambient air Substances 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 238000010790 dilution Methods 0.000 description 3
- 239000012895 dilution Substances 0.000 description 3
- 230000005672 electromagnetic field Effects 0.000 description 3
- 235000019253 formic acid Nutrition 0.000 description 3
- 238000010574 gas phase reaction Methods 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000003446 ligand Substances 0.000 description 3
- 239000007791 liquid phase Substances 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 241000894007 species Species 0.000 description 3
- QLRYAXJYEVUQJU-UHFFFAOYSA-N (2-methylpropan-2-yl)oxytin Chemical class CC(C)(C)O[Sn] QLRYAXJYEVUQJU-UHFFFAOYSA-N 0.000 description 2
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910020813 Sn-C Inorganic materials 0.000 description 2
- 229910006404 SnO 2 Inorganic materials 0.000 description 2
- 229910018732 Sn—C Inorganic materials 0.000 description 2
- 239000004809 Teflon Substances 0.000 description 2
- 229920006362 Teflon® Polymers 0.000 description 2
- 238000001994 activation Methods 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000013626 chemical specie Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000000280 densification Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000003379 elimination reaction Methods 0.000 description 2
- 239000003344 environmental pollutant Substances 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- 150000002902 organometallic compounds Chemical class 0.000 description 2
- 125000004430 oxygen atom Chemical group O* 0.000 description 2
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 2
- 230000002186 photoactivation Effects 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 231100000719 pollutant Toxicity 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229920005862 polyol Polymers 0.000 description 2
- 150000003077 polyols Chemical class 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- CVOFKRWYWCSDMA-UHFFFAOYSA-N 2-chloro-n-(2,6-diethylphenyl)-n-(methoxymethyl)acetamide;2,6-dinitro-n,n-dipropyl-4-(trifluoromethyl)aniline Chemical compound CCC1=CC=CC(CC)=C1N(COC)C(=O)CCl.CCCN(CCC)C1=C([N+]([O-])=O)C=C(C(F)(F)F)C=C1[N+]([O-])=O CVOFKRWYWCSDMA-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical class OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 1
- 206010073306 Exposure to radiation Diseases 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910020929 Sn-Sn Inorganic materials 0.000 description 1
- 229910009053 Sn—O—Sn Inorganic materials 0.000 description 1
- 229910008827 Sn—Sn Inorganic materials 0.000 description 1
- 101150110932 US19 gene Proteins 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 238000010504 bond cleavage reaction Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000005695 dehalogenation reaction Methods 0.000 description 1
- 125000004663 dialkyl amino group Chemical group 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 229910000037 hydrogen sulfide Inorganic materials 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 150000004681 metal hydrides Chemical group 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 230000000379 polymerizing effect Effects 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000036632 reaction speed Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002893 slag Substances 0.000 description 1
- 238000007711 solidification Methods 0.000 description 1
- 230000008023 solidification Effects 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/167—Coating processes; Apparatus therefor from the gas phase, by plasma deposition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/168—Finishing the coated layer, e.g. drying, baking, soaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/36—Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/6715—Apparatus for applying a liquid, a resin, an ink or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67259—Position monitoring, e.g. misposition detection or presence detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Materials For Photolithography (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Drying Of Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
光阻的顯影對於例如用以在高解析度圖案化的背景下形成圖案化遮罩可為實用的。顯影可使用例如鹵化氫的含鹵化物化學品而完成。使用乾式或濕式沉積技術可在半導體基板上沉積含金屬光阻膜。該光阻膜可為EUV敏感的含有機金屬氧化物、或含有機金屬薄膜光阻。在曝光後,係使用濕式或乾式顯影將經光圖案化的含金屬光阻進行顯影。
Description
本揭露總體上係關於半導體處理的領域。
諸如積體電路的半導體裝置之加工係涉及光微影術的多步驟處理。一般來說,該處理包括在晶圓上沉積材料、以及經由微影技術對該材料圖案化,以形成半導體裝置的結構特徵(例如,電晶體及電路系統)。在本領域中所習知的典型光微影處理之步驟包括:準備基板;例如藉由旋轉塗佈以施加光阻;將該光阻暴露至所欲圖案的光線,使得該光阻的經曝光區域變得更溶於、或更不溶於顯影劑溶液中;藉由施加顯影劑溶液進行顯影,以移除光阻的經曝光區域、或是未曝光區域;以及後續處理,例如藉由蝕刻或材料沉積以在光阻所移除的基板區域上創造特徵部。
半導體設計的演進形成在半導體基板材料上創造越來越小的特徵部之需求,並由這種能力驅使半導體設計的演進。在「摩爾定律」中,這種技術進程的特徵在於每兩年使密集積體電路中的電晶體密度加倍。確實,晶片設計及製造已有進展,使得先進微處理器可在單一晶片上包含數十億個電晶體和其他電路特徵。在這種晶片上的獨立特徵可為22奈米(nm)或更小的數量級,在一些情況下係小於10 nm。
在製造具有這種微小特徵部的裝置之中的一個挑戰在於:能夠可靠地且可再現地創造具有足夠解析度之光微影遮罩的能力。當前的光微影處理通常係使用193 nm的紫外(UV)光以使光阻曝光。光的波長明顯大於在半導體基板上待製造的期望特徵部尺寸的事實造成了先天上的問題。要達成特徵部尺寸小於光的波長需要使用複雜的解析度增強技術,例如複數圖案化。因此,對於發展使用較短光波長,例如極紫外(EUV)輻射,具有10 nm至15 nm的波長(例如13.5 nm)的光微影技術中係存在著著重大關注與研究計畫。
然而,EUV光微影處理可能存在挑戰,包括在圖案化期間的低功率輸出與光損失。類似於在193 nm UV微影術中使用的那些,當在EUV微影術中使用傳統有機的化學放大光阻(chemically amplified resists, CAR)時會具有潛在的缺點,特別是因為它們在EUV區域中具有低吸收係數,且光活化性化學物種的擴散可能會造成模糊、以及線邊緣粗糙。此外,為了提供將下伏裝置層圖案化所需的蝕刻抗性,在傳統CAR材料中經圖案化的微小特徵部可能會冒著圖案崩塌的風險而形成高深寬比。於是,仍然存在著對改善EUV光阻材料的需求,所述改善EUV光阻材料係例如具有較低厚度、較高吸收率、以及較高蝕刻抗性的這些性質。
此處所提供的先前技術係為了整體上呈現本揭露技術的背景。列名發明人的工作成果、至此先前技術部分中所描述的範圍、以及在申請時點可能不適格作為先前技術的敘述態樣,均不被明示或暗示地承認作為對抗本揭露技術的先前技術。
光阻的顯影對於例如用以在高解析度圖案化的背景下形成圖案化遮罩可為實用的。使用某些顯影化學品,顯影可選擇性移除光阻的經曝光、或未曝光部分。顯影化學品可包括鹵化物,例如鹵化氫、或氫與鹵化物氣體的混合。在一些實施例中,顯影為乾式顯影。在一些實施例中,該光阻為經光圖案化的含金屬EUV光阻。在一些實施例中,乾式顯影處理為無電漿的熱處理。
在本文中所揭露的係半導體基板的處理方法與系統。半導體基板的處理方法包括在處理腔室中,在半導體基板的基板層上提供經光圖案化的含金屬光阻;以及透過暴露至包括鹵化物的顯影化學品而選擇性地移除該光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
在一些實行例中,該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻。在一些實行例中,將該經光圖案化的含金屬EUV光阻加以顯影係包括利用該顯影化學品將該EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除,以形成該光阻遮罩。在一些實行例中,該顯影化學品包括鹵化氫、氫氣與鹵素氣體、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。在一些實行例中,該顯影化學品包括氟化氫、氯化氫、溴化氫、或碘化氫。在一些實行例中,透過暴露至該顯影化學品以將該經光圖案化的含金屬光阻加以顯影係包括透過暴露至乾式顯影化學品以將該經光圖案化的含金屬光阻進行乾式顯影。在一些實行例中,將該經光圖案化的含金屬光阻進行乾式顯影係包括將包括該鹵化物之自由基的一遠端電漿施加至該光阻。在一些實行例中,將該經光圖案化的含金屬光阻進行乾式顯影係在介於-60°C與120°C之間的溫度下、介於0.1 mTorr與500 Torr之間或介於約0.5 Torr與約760 Torr之間的腔室壓力下、介於100 sccm與2000 sccm之間的該鹵化物之氣體流量下進行,該光阻遮罩的蝕刻選擇性係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以調整。在一些實行例中,該溫度係介於-20°C與20°C之間。在一些實行例中,該經光圖案化的含金屬光阻包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、及鍺所構成的群組。在一些實行例中,該方法更包括:在將該經光圖案化的含金屬光阻加以顯影之後,將該經光圖案化的含金屬光阻暴露至一惰性氣體電漿。在一些實行例中,該方法更包括:在該半導體基板上沉積一含金屬EUV光阻膜;以及在提供該經光圖案化的含金屬光阻之前,在不移除該基板層的情況下將該含金屬EUV光阻膜從該半導體基板進行非選擇性移除。
在本文中所揭露的係將光阻顯影的設備。該設備包括具有一基板支撐件的處理腔室;耦接至該處理腔室的一真空管線;以及耦接至該處理腔室的一顯影化學品管線。該設備更包括一控制器,配置具有用於處理一半導體基板的複數指令,該等指令包括編碼,用於:在一處理腔室中,在該半導體基板的一基板層上提供一經光圖案化的含金屬光阻;以及透過暴露至包括鹵化物的顯影化學品而選擇性地移除該光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
在一些實行例中,該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻,且其中該控制器係包括編碼以用於利用該顯影化學品將該EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除而形成該光阻遮罩,其中該控制器係配置具有指令且該等指令包括用於將該經光圖案化的含金屬EUV光阻加以顯影的編碼。在一些實行例中,該設備更包括耦接至該基板支撐件的一或更多加熱器,其中該一或更多加熱器包括複數可獨立控制的溫度控制區域。在一些實行例中,該處理腔室的內部係塗覆著腐蝕抑制劑。在一些實行例中,該設備更包括耦接至該處理腔室的冷凝阱,其中該冷凝阱係配置以將水從該處理腔室移除。在一些實行例中,該設備更包括耦接至該處理腔室的一UV燈或IR燈,其中該UV燈或IR燈係配置以將該經光圖案化的含金屬光阻固化、或是將過量鹵化物從該處理腔室移除。
在本文中所揭露的係半導體基板的處理方法。該方法包括在一處理腔室中,在一半導體基板的一基板層上提供經乾式沉積的一經光圖案化的金屬氧化物EUV光阻;以及透過暴露至包括鹵化氫的乾式顯影化學品而選擇性地移除該EUV光阻的一未EUV曝光部分,以將該經光圖案化的金屬氧化物EUV光阻進行乾式顯影,而從經EUV曝光部分形成一光阻硬遮罩。
在一些實行例中,乾式顯影係在無電漿的熱處理中進行,其中暴露至該乾式顯影化學品係在介於約-20°C與約20°C之間的溫度下進行。在一些實行例中,該經光圖案化的金屬氧化物EUV光阻係包括有機錫氧化物。
所揭露實施例的這些及其他特徵將參照相關圖式而詳細描述於下。
本揭露總體上係關於半導體處理的領域。在特定態樣中,本揭露係指向使用鹵化物化學品以將光阻(例如,含EUV-敏感性金屬及/或金屬氧化物的光阻)顯影的處理及設備,以例如在EUV圖案化的背景下形成圖案化遮罩。
在本文中係詳細地參照本揭露的特定實施例。這些特定實施例的示例係繪示在隨附圖式中。雖然將結合這些特定實施例來描述本揭露,但將能理解的是,這並非意旨於使本揭露受限於這些特定實施例。相反地,其係意旨於可涵蓋在本揭露之精神及範圍內所可包括的變更、修正、及均等物。在下列敘述中,許多具體細節係闡述以提供對本揭露的透徹理解。本揭露可在不具有一些或所有這些具體細節的情況下實施。在其他情況下,並未詳細描述習知的處理操作以免不必要地模糊本揭露。前言
在半導體處理中,薄膜的圖案化經常是半導體加工中的重要步驟。圖案化係涉及微影術。在習知的光微影術中(例如,193 nm光微影術),係透過將光子從光子來源發射至遮罩上並將圖案印在感光光阻上來印出圖案,從而在該光阻中產生化學反應,並且在顯影後移除光阻的某些部分以形成圖案。
先進的技術節點(如國際半導體技術發展藍圖所定義)包括22 nm、16 nm、及更低節點。舉例來說,在16 nm節點中,鑲嵌結構中的典型通孔或線路之寬度通常不大於約30 nm。將先進半導體積體電路(IC)及其他裝置上的特徵部進行微縮係驅使微影術改善解析度。
與習知光微影方法所能達到的相比,極紫外(EUV)微影術可藉由移往更小的成像來源波長來擴展微影技術。大約位於10-20 nm、或11-14 nm波長(例如, 13.5 nm波長)的EUV光源可使用於前緣微影工具,亦稱為掃描器。由於EUV的輻射係被各種固體及流體材料(包括石英、及水蒸氣)強烈吸收,而因此在真空中進行操作。
EUV微影術係使用經圖案化的EUV光阻,以形成在蝕刻下伏層中所使用的遮罩。EUV光阻可為藉由基於液體之旋塗技術所製造的基於聚合物之化學放大光阻(CAR)。CAR的替代品係可直接光圖案化的含金屬氧化物膜,例如可取得自Inpria, Corvallis, OR,以及例如在美國專利公開第2017/0102612號、第US 2016/021660號、及第US 2016/0116839號中描述的那些,至少它們對可光圖案化之含金屬氧化物膜的揭露係以參照的方式併入本文中。這種膜可藉由旋塗技術或乾式氣相沉積加以製造。含金屬氧化物膜可藉由在真空環境中的EUV曝光而直接進行圖案化(即,不使用個別的光阻),以提供次30 nm(sub-30 nm)的圖案化解析度,例如在2018年6月12日領證且標題為「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」的美國專利第9,996,004號中、及/或在2019年5月9日所提申且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」的申請案第PCT/US19/31618號中所描述,其至少關於可直接光圖案化的含金屬氧化物膜的組成、沉積、與圖案化以形成EUV光阻遮罩的揭露係以參照的方式併入本文中。一般而言,圖案化係涉及利用EUV輻射來使EUV光阻曝光以在光阻中形成光學圖案,隨後進行顯影以根據該光學圖案而移除光阻的一部分,以形成遮罩。
還應理解的是,雖然本揭露係關於以EUV微影術作為示例的微影圖案化技術及材料,然而其亦可應用於其他的次世代微影技術。除了包括在當前使用及發展中標準13.5 nm EUV波長的EUV之外,與這種微影術最相關的輻射來源為深UV(DUV),DUV通常是指使用248 nm或193 nm的準分子雷射來源;X光,其形式上在X光範圍的較低能量範圍處包括EUV;以及電子束,其可涵蓋廣泛的能量範圍。這些特定方法可取決於在半導體基板及終端半導體裝置中使用的特定材料及應用。因此,在本申請案中所描述的方法僅為可在本技術中使用的示例性方法及材料。
直接可光圖案化的EUV光阻可由混合在有機成分內的金屬及/或金屬氧化物所構成、或是包含混合在有機成分內的金屬及/或金屬氧化物。金屬/金屬氧化物係非常具有前景的,因為它們可增強EUV光子的吸收率並產生第二電子、及/或顯示對於下伏膜堆疊及裝置層的增強蝕刻選擇性。至今,已使用濕式(溶劑)方法將這些光阻顯影,濕式方法需要將晶圓移動至軌道使晶圓在軌道處暴露至顯影溶劑、乾燥及烘乾。濕式顯影不僅限制了生產力,還會因為表面張力效應及/或剝落而造成線路崩塌。
乾式顯影已被提出以藉由消除基板剝落與介面失靈來克服這些議題。乾式顯影可改善效能(例如,避免在濕式顯影中由於表面張力及剝落所造成的線路崩塌)並增加生產量(例如,藉由避免濕式顯影的軌道)。其他優點可包括排除有機溶劑顯影劑的使用、減少對附著性議題的敏感性、提高用於改良劑量效率的EUV吸收性、以及不具基於溶解度的限制。乾式顯影還可提供更多的可調性,並給予進一步的臨界尺寸(CD)控制、以及殘渣(scum)移除。
乾式顯影具有其自身的挑戰性,包括在未曝光與經EUV曝光光阻材料之間的蝕刻選擇性,當與濕式顯影相比時,該蝕刻選擇性可能導致較高的劑量以用於有效光阻曝光的尺寸需求。次佳的選擇性還可能因為在蝕刻氣體下的較長暴露而導致PR轉角圓化,而可能在後續的蝕刻轉移步驟中使線路的CD偏差增加。EUV 光阻的顯影
根據本揭露的各種態樣,係藉由暴露至含鹵素化學品以將經光圖案化的含金屬光阻進行顯影。在半導體基板上係設置含EUV-敏感的金屬、或金屬氧化物膜,例如有機錫氧化物。該含EUV-敏感的金屬、或金屬氧化物膜係藉由在真空環境中的EUV曝光而直接圖案化。接著,使用顯影化學品將圖案顯影而形成光阻遮罩。在一些實施例中,顯影化學品為乾式顯影化學品。在一些實施例中,乾式顯影化學品包括氫與鹵化物。這種乾式顯影技術可在使用溫和電漿(高壓、低功率)、或是熱處理時而流動氫與鹵化物的乾式顯影化學品予以完成。本揭露提供配置以在光阻遮罩成形處理的一部分時將含金屬光阻進行顯影的處理及設備。各種實施例包括藉由氣相沉積、EUV微影圖案化、以及乾式顯影以結合所有的乾式操作。各種其他實施例包括濕式與乾式處理操作的組合,例如可將旋塗EUV光阻(濕式處理)與乾式顯影、或本文中所述的其他濕式或乾式處理結合。還描述各種沉積後(或是施加後)處理,例如晶邊及背側清洗、腔室清洗、去殘渣、平滑化、以及固化,以改質並增強膜特性;以及光阻的重工(rework)處理。
圖1係根據一些實施例而呈現用於將光阻進行沉積與顯影之示例性方法的流程圖。處理100的操作可在不同順序、及/或伴隨不同、更少、或附加的操作下執行。該處理100的實施態樣可參照圖2A-2C、3、及4A-4B加以描述。該處理100的一或更多操作可使用圖12-15的任一者中所描述的設備而執行。在一些實施例中,該處理100的操作可至少部分地根據儲存在一或更多非瞬態電腦可讀媒體中的軟體而加以實施。
在該處理100的方塊102處,係沉積光阻層。這可為乾式沉積處理(例如,氣相沉積處理)、或是濕式處理(例如,旋塗沉積處理)。
該光阻可為含金屬EUV光阻。透過任何合適技術,包括濕式(例如,旋塗)或乾式(例如,CVD)沉積技術,可在半導體基板上沉積含EUV-敏感金屬、或金屬氧化物膜。舉例來說,已將所述處理展示用於基於有機錫氧化物的EUV光阻組成,其中有機錫氧化物可應用於商用可旋塗配方(例如,可取得自Inpria Corp, Corvallis, OR)、以及使用乾式真空沉積技術所應用的配方兩者,其係進一步描述於下。
半導體基板可包括適合用於光微影處理的任何材料構成,尤其係用於積體電路與其他半導體裝置的製造。在一些實施例中,半導體基板為矽晶圓。半導體基板可為已在其上形成特徵部(「下伏特徵部」)的矽晶圓,而具有不規則的表面形貌。如本文中所指,「表面」係待將本揭露的膜沉積於其上的表面、或是在處理期間待暴露至EUV的表面。下伏特徵部可包括在實施本揭露的方法之前,已在處理期間將其中之材料移除(例如,藉由蝕刻)的區域、或是已在其中將材料添加(例如,藉由沉積)的區域。這種事先處理可包括本揭露的方法、或是反覆處理中的其他處理方法,以藉此在基板上形成二或更多特徵部的層。
可在半導體基板上沉積EUV-敏感薄膜,這種膜可作為後續EUV微影術及處理所用的光阻。這種EUV-敏感薄膜所包括的材料在暴露至EUV後會產生變化,例如與富含低密度M-OH材料中的金屬原子鍵結的大型側部取代基(pendant substituent)之減損,以允許它們交聯(crosslink)至較緊密M-O-M鍵結的金屬氧化物材料。相對於未曝光的區域,經由EUV圖案化係創造出改變物理或化學性質的膜區域。這些性質在後續處理中係可利用的,例如用以溶解未曝光或經曝光區域、或是用以在經曝光或未曝光區域上選擇性沉積材料。在一些實施例中,在執行這種後續處理的條件下,未曝光的膜具有比經曝光的膜更疏水的表面,舉例來說,可藉由運用膜的化學組成、密度、以及交聯的差異以執行材料的移除。移除可藉由濕式處理或乾式處理進行,如進一步描述於下。
在各種實施例中,該薄膜為有機金屬材料,例如包括錫氧化物、或是其他金屬氧化物材料/基團(moiety)的有機錫材料。有機金屬化合物可藉由有機金屬前驅物與對應反應物(counter-reactant)在氣相中的反應所製得。在各種實施例中,該有機金屬化合物係形成於:經由將具有大型烷基或氟烷基之有機金屬前驅物的特定組合物與對應反應物混合、並在氣相中將混合物進行聚合,以製造在半導體基板上沉積的低密度EUV-敏感材料。
在各種實施例中,有機金屬前驅物在各金屬原子上包括可在氣相反應下留存的至少一烷基,而配位至該金屬原子的其他配位基或離子可由該對應反應物所取代。有機金屬前驅物係包括化學式的那些:
Ma
Rb
Lc
[化學式1]
其中:M為具有高圖案化輻射吸收橫剖面的元素;R為烷基,例如Cn
H2n+1
,其中較佳地n ≥ 2;L係與對於該對應反應物具反應性的配位基、離子、或其他基團;a ≥ 1;b ≥ 1;且c ≥ 1。
在各種實施例中,M具有等於或大於1x107
cm2
/mol的原子吸收橫剖面。舉例來說,M可選自於由錫、鉿、碲、鉍、銦、銻、碘、鍺、及其組合所構成的群組。在一些實施例中,M為錫。R可為氟化的,例如具有化學式Cn
Fx
H(2n+1)
。在各種實施例中,R具有至少一β-氫或β-氟。舉例來說,R可選自於由乙基、異丙基、正丙基、三級丁基、異丁基、正丁基、二級丁基、正戊基、異戊基、三級戊基、二級戊基、及其混合所構成的群組。L可為容易被對應反應物所取代而產生M-OH基團的任何基團,例如選自於由胺(例如,二烷基胺基、一烷基胺基)、烷氧基、羧酸鹽、鹵素、及其混合所構成之群組的基團。
有機金屬前驅物可為各種候選金屬-有機前驅物的任何一者。舉例來說,在M為錫的情況下,這種前驅物係包括三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二甲基胺基)錫、乙基參(二甲基胺基)錫、以及類似的烷基(參)(三級丁氧基)錫化合物,例如三級丁基參(三級丁氧基)錫。在一些實施例中,該有機金屬前驅物係部分氟化的。
對應反應物具有取代反應性基團、配位基、或離子(例如,上方化學式1中的L)的能力,以經由化學鍵結將至少二金屬原子進行鏈接。對應反應物可包括水、過氧化物(例如,過氧化氫)、二或多元醇、氟化的二或多元醇、氟化的乙二醇、以及其他羥基團的來源。在各種實施例中,係藉由在鄰近的金屬原子之間形成氧橋而將對應反應物與有機金屬前驅物反應。其他可能的對應反應物包括可經由硫橋以將金屬原子進行交聯的硫化氫、及二硫化氫。
該薄膜可包括除了有機金屬前驅物及對應反應物之外的任選材料,以對膜的化學或物理性質加以改質,例如用以將膜對於EUV的敏感度、或是提高蝕刻抗性加以改質。在半導體基板上進行沉積之前、或在沉積薄膜之後(或是兩者),可例如在氣相成形期間藉由摻雜以導入這種任選材料。在一些實施例中,可導入溫和的遠端H2
電漿,以將一些Sn-L鍵取代成Sn-H,Sn-H可提高光阻在EUV下的反應性。
在各種實施例中,係使用本領域中所習知的那些氣相沉積設備與處理以在半導體基板上製造並沉積可EUV圖案化膜。在這種處理中,聚合的有機金屬材料係在氣相中形成、或是在半導體基板的表面上原位形成。合適的處理例如包括化學氣相沉積(CVD)、原子層沉積(ALD)、以及伴隨CVD成分的ALD,例如不連續的類ALD處理,在該類ALD處理中金屬前驅物與對應反應物在時間或空間中係獨立的。
通常,方法包括將有機金屬前驅物的蒸氣流與對應反應物的蒸氣流混合,以形成經聚合的有機金屬材料;以及將該有機金屬材料沉積在半導體基板的表面上。在一些實施例中,在蒸氣流中係包括多於一種有機金屬前驅物。在一些實施例中,在蒸氣流中係包括多於一種對應反應物。本領域中具有通常知識者將能理解的是,在實質連續的處理中,該處理的混合及沉積態樣可同時進行。
在示例性的連續CVD處理中,係將位於分離入口路徑中的有機金屬前驅物及對應反應物之來源的二或更多氣流導引至CVD設備的沉積腔室,在所述沉積腔室中它們在氣相中混合並進行反應以形成聚結的(agglomerated)聚合材料(例如,經由形成金屬-氧-金屬鍵)。所述氣流可例如使用分離的注入口、或是雙重氣室(dual-plenum)的噴淋頭加以導入。所述設備係配置以在腔室中混合有機金屬前驅物與對應反應物的氣流,以允許有機金屬前驅物與對應反應物進行反應而形成經聚合的有機金屬材料。不受限於本技術之機制、功能、或用途,據信由於金屬原子係被對應反應物進行交聯,造成這種氣相反應所得到的產物在分子量中變得更重,並接著被冷凝或以其他方式沉積在半導體基板上。在各種實施例中,大型烷基團的立體障礙防止形成緊密堆疊的網狀結構,並產生平滑、無定型、且低密度的膜。
CVD處理通常係在例如從10毫托至10托的減壓下進行。在一些實施例中,該處理係在0.5至2托下進行。在一些實施例中,半導體基板的溫度係等於或低於反應物流的溫度。舉例來說,基板溫度可從0°C至250°C、或是從室溫(例如,23°C)至150°C。在各種處理中,在基板上沉積經聚合的有機金屬材料係在與表面溫度成反比的速率下發生。
在一些實施例中,係使用本領域中所習知的那些濕式沉積設備與處理以在半導體基板上製造並沉積可EUV圖案化膜。舉例來說,透過旋轉塗佈以在半導體基板的表面上形成有機金屬材料。
在半導體基板的表面上所形成的可EUV圖案化膜之厚度係可根據表面特性、所使用的材料、以及處理條件而加以改變。在各種實施例中,膜厚度可從0.5 nm至100 nm,並可為充分厚度以在EUV圖案化的條件下吸收大部分的EUV光。該可EUV圖案化膜可具有提供等於或大於30%吸收率的能力,而大幅減少朝向該可EUV圖案化膜之底部所可利用的EUV光子。與經EUV-曝光膜的底部相比,較高的EUV吸收率在該經EUV-曝光膜的頂部附近造成較多的交聯與緻密化。雖然不充分的交聯可能會在濕式顯影中導致光阻較易於剝落或崩塌,但這種風險並不存在於乾式顯影中。全乾式的微影方法可藉由較不透光的光阻膜來促進將EUV光子使用得更加有效率。雖然利用具有較高的整體吸收率的可EUV圖案化膜可有效率地使用EUV光子,但將能理解的是,在一些情況下該可EUV圖案化膜可少於約30%。作為對比,大部分的其他光阻膜之最大整體吸收率係小於30%(例如,10%或更少、或是5%或更少),以使位於光阻膜之底部處的光阻材料充分曝光。在一些實施例中,膜厚度係從10 nm至40 nm、或從10 nm至20 nm。不受限於本揭露之機制、功能、或用途,據信本揭露的處理對於基板的表面附著性質具有較少的限制,而不像是本領域中的濕式旋塗處理,因此可應用於各式各樣的基板。此外,如上所述,所沉積的膜可與表面特徵部緊密地保形,以在基板(例如,具有下伏特徵部的基板)上的遮罩形成中提供優勢,而不會以「填入」、或以其他方式使這種特徵部平坦化。
在方塊104處,執行任選的清洗處理以清洗半導體基板的背側及/或晶邊周緣。背側及/或晶邊周緣的清洗可非選擇地蝕刻EUV光阻膜,以均等地將基板背側及晶邊周緣上具有各種氧化或交聯程度的膜移除。在藉由濕式沉積處理或乾式沉積處理以施加可EUV圖案化膜的期間,基板晶邊周緣及/或背側上可能會存在一些光阻材料的非預期沉積。所述非預期沉積可能導致不期望的微粒,所述微粒後續移動至半導體基板的頂表面並成為微粒缺陷。此外,這種晶邊周緣及背側的沉積可能造成下游處理的問題,包括圖案化(掃描器)及顯影工具的汙染。傳統上,係藉由濕式清洗技術來移除這種晶邊周緣及背側的沉積。對於旋轉塗佈的光阻材料,這種處理係稱作邊緣珠移除(EBR),並係透過當基板正在旋轉時從晶邊周緣的上方及下方導入溶劑流而加以執行。可將所述的相同處理施加至透過氣相沉積技術所沉積的可溶性基於有機錫氧化物光阻。
基板晶邊周緣及/或背側的清洗還可為乾式清洗處理。在一些實施例中,該乾式清洗處理係涉及具有下列氣體之一或更多者的蒸氣及/或電漿: HBr、HCl、BCl3
、SOCl2
、Cl2
、BBr3
、H2
、O2
、PCl3
、CH4
、甲醇、氨、甲酸、NF3
、HF。在一些實施例中,該乾式清洗處理可使用與本文所述的乾式顯影處理相同的化學品。舉例來說,晶邊周緣及背側的清洗可使用鹵化氫的顯影化學品。對於背側及晶邊周緣的清洗處理,必須將蒸氣及/或電漿限制在基板的特定區域,以確保僅移除背側及晶邊,而不會使基板前側上的任何膜劣化。
可將處理條件最佳化以用於晶邊周緣及背側的清洗。在一些實施例中,較高溫度、較高壓力、及/或較高反應物流量可造成蝕刻速率增加。取決於光阻膜、及組成、與性質,對於乾式晶邊周緣及背側清洗的合適處理條件可為:100-10000 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、或H2
及Cl2
或Br2
、BCl3
或H2
)、20至140˚C的溫度(例如,80˚C)、20-1000毫托的壓力(例如,100毫托)、高頻(例如,13.56 MHz)下0至500 W的電漿功率、以及約10至20秒的時間。應當理解,儘管這些條件係適合用於一些處理反應器,例如可從Lam Research Corporation, Fremont, CA取得的Kiyo蝕刻工具,但可根據處理反應器的性能而使用各種處理條件。
例如當原來的光阻受損、或是具有其他缺陷時,可將乾式清洗操作替代性地延伸以完全移除光阻、或是將光阻「重工」,在將光阻「重工」中係將所施加的EUV光阻移除,並將半導體基板準備以再次施加光阻。光阻的重工應當在不損害下伏半導體基板的情況下完成,因此應避免進行基於氧的蝕刻。反而,可使用本文中所述的含鹵化物化學品之變體。將能理解,可在處理100期間的任何階段應用光阻的重工操作。因此,光阻的重工操作可在沉積光阻後、清洗晶邊周緣及背側後、PAB處理後、EUV曝光後、PEB處理後、或顯影後加以應用。在一些實施例中,可將光阻的重工執行用於光阻之經曝光及未曝光區域的非選擇性移除,但對下伏層具有選擇性。
在一些實施例中,光阻的重工處理係涉及具有下列氣體之一或更多者的蒸氣及/或電漿: HBr、HCl、HI、BCl3
、Cl2
、BBr3
、H2
、PCl3
、CH4
、甲醇、氨、甲酸、NF3
、HF。在一些實施例中,光阻的重工處理可與本文所述之乾式顯影處理使用相同的化學品。舉例來說,光阻的重工可使用鹵化氫的顯影化學品。
可將處理條件最佳化以用於光阻的重工。在一些實施例中,較高溫度、較高壓力、及/或較高反應物流量可造成蝕刻速率增加。取決於光阻膜、及組成、與性質,對於光阻之重工的合適處理條件可為:100-500 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、BCl3
或H2
及Cl2
或Br2
)、20至140˚C的溫度(例如,80˚C)、20-1000毫托的壓力(例如,300毫托)、高頻(例如,13.56 MHz)下300至800 W的電漿功率(例如,500 W)、0至200Vb
的晶圓偏壓(在較硬下伏基板材料的情況下可使用較高偏壓)、以及足夠將EUV光阻完全移除的約20秒至3分鐘的時間。應當理解,儘管這些條件係適合用於一些處理反應器,例如可從Lam Research Corporation, Fremont, CA取得的Kiyo蝕刻工具,但可根據處理反應器的性能而使用各種處理條件。
在處理100的方塊106處,在進行可EUV圖案化膜的沉積之後、以及EUV曝光之前係執行任選的施加後烘烤(PAB)。該PAB處理可涉及熱處理、化學暴露、與溼氣的組合,以提高可EUV圖案化膜的EUV敏感度,而減少用以將可EUV圖案化膜中的圖案進行顯影的EUV劑量。可將PAB處理的溫度加以調節和最佳化,以用於提高可EUV圖案化膜的敏感度。舉例來說,處理溫度可介於約90˚C與約200˚C之間、或介於約150˚C與約190˚C之間。在一些實施例中,PAB處理可在介於大氣壓與真空之間的壓力、且約為1至15分鐘(例如約為2分鐘)的處理持續時間下進行。在一些實施例中,PAB處理可在介於約100˚C與200˚C之間的溫度下執行約1分鐘至2分鐘。
在處理100的方塊108處,將含金屬的EUV光阻膜暴露至EUV輻射以產生一圖案。一般而言,所述EUV曝光使含金屬EUV光阻膜之中的化學組成及交聯產生變化,而形成可在後續顯影中利用的蝕刻選擇性之對比。
接著,通常係在相對高真空下,可藉由將含金屬EUV光阻膜的一區域暴露至EUV光以將該膜圖案化。在本文中實用的EUV裝置及成像方法包括本領域中習知的方法。尤其,如上所述,相對於未曝光的區域,經由EUV圖案化係創造出改變物理或化學性質的膜之經曝光區域。舉例來說,在經曝光區域中,可例如經由β-氫消除而發生金屬-碳鍵的裂解(cleavage),留下具反應性且可使用的金屬氫化物官能度(functionality),該金屬氫化物官能度在後續的曝光後烘烤(post-exposure bake, PEB)步驟期間可經由金屬-氧橋而轉化成氫氧化物、以及經交聯的金屬氧化物基團。此處理可用以創造作為負調性光阻(negative tone resist)顯影所用的化學對比。一般來說,烷基中較大量的β-氫會形成較敏感的膜。這還可解釋成具有較多分枝的較弱Sn-C鍵結。在曝光後,可將含金屬的EUV光阻膜進行烘烤,以形成金屬氧化物膜的附加交聯。在後續的處理中可運用經曝光與未曝光區域之間的性質差異,用以溶解未曝光區域、或用以在經曝光區域上沉積材料。舉例來說,可使用乾式方法對圖案顯影,以形成含金屬氧化物的遮罩。
尤其,在各種實施例中,特別是當該曝光係在真空下使用EUV而執行時,在成像層之經曝光區域中,存在於表面上的末端烴基(hydrocarbyl-terminated)錫氧化物會轉化成末端氫(hydrogen-terminated)錫氧化物。然而,將經曝光的成像層從真空移動進入空氣、或是受控制引入氧、臭氧、H2
O2
、或水可導致表面的Sn-H氧化成Sn-OH。經曝光與未曝光區域之間的性質差異可運用在後續的處理,例如藉由將一或更多反應物與經照射區域、未照射區域、或兩者進行反應,以選擇性地將材料添加至該成像層、或是從該成像層移除材料。
不受限於本技術的機制、功能、或應用,例如劑量從10 mJ/cm2
至100 mJ/cm2
的EUV曝光會導致Sn-C鍵之裂解,造成烷基取代基的減少、緩解立體障礙、以及允許低密度膜崩解。除此之外,在β-氫消除反應中所產生的反應性金屬-H鍵可與鄰近的活性基團(例如,膜中的羥基)反應,造成進一步的交聯與緻密化,並在經曝光與未曝光區域之間創造化學對比。
在將含金屬的EUV光阻膜暴露至EUV光之後,係提供經光圖案化的含金屬EUV光阻。該經光圖案化的含金屬EUV光阻包括經EUV曝光、及未曝光區域。
在處理100的方塊110處,係執行任選的曝光後烘烤(PEB)以進一步提高該經光圖案化含金屬EUV光阻之蝕刻選擇性中的對比。可在各種化學物種的存在下對該經光圖案化的含金屬EUV光阻進行熱處理,以促進該經EUV曝光區域的交聯;或是在環境空氣中於加熱板上進行簡易烘烤,例如在150°C與250°C之間進行介於1至5分鐘(例如,以190°C進行2分鐘)。
在各種實施例中,烘烤策略涉及謹慎地控制烘烤環境、反應性氣體的引入、及/或謹慎地控制烘烤溫度的升降速率。實用反應性氣體的示例例如包括空氣、H2
O、H2
O2
蒸氣、CO2
、CO、O2
、O3
、CH4
、CH3
OH、N2
、H2
、NH3
、N2
O、NO、醇、乙醯丙酮、甲酸、Ar、He、或其混合。PEB處理係經設計以(1)驅使將在EUV曝光期間所產生的有機片段完全蒸發、以及(2)將EUV曝光所產生的任何Sn-H、Sn-Sn、或Sn自由基物種氧化成金屬氫氧化物、以及(3)促進鄰近Sn-OH基團之間的交聯以形成較緊密交聯的類SnO2
網狀結構。烘烤溫度係謹慎地選擇以達到最佳的EUV微影性能。過低的PEB溫度將導致不充分的交聯、並因此在給定的劑量下具有較少的顯影用化學對比。過高的PEB溫度亦將具有不利的影響,包括在未曝光區域(在此示例中,為了形成遮罩,該區域係透過圖案化膜的顯影而被移除)中的劇烈氧化與膜收縮、以及在該經光圖案化的含金屬EUV光阻與下方層之間的介面處的非期望交互擴散(interdiffusion),這兩者均會導致化學對比的減損、以及由於不可溶的殘渣而導致缺陷密度的增加。PEB處理溫度可介於約100°C與約300°C之間、介於約170°C與約290°C之間、或約200°C與約240°C之間。在一些實施例中,PEB處理可在介於大氣壓與真空之間的壓力、以及約1至15分鐘(例如,約2分鐘)的處理持續時間下進行。在一些實施例中,可重複PEB熱處理以進一步增加蝕刻選擇性。
在處理100的方塊112,將該經光圖案化的含金屬EUV光阻進行顯影,以形成光阻遮罩。在各種實施例中,係移除經曝光區域(正調性)、或是移除未曝光區域(負調性)。在一些實施例中,顯影可包括在該經光圖案化的含金屬EUV光阻之經曝光、或未曝光區域上進行選擇性沉積,並接著進行蝕刻操作。在各種實施例中,這些處理可為乾式處理、或濕式處理。在一些實施例中,可在不點燃電漿的情況下完成顯影。或者,可在遠端電漿來源中活化、或是藉由暴露至遠端UV輻射來活化氫與鹵化物(例如,H2
及Cl2
及/或Br2
)流的情況下完成顯影。顯影用的光阻可包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、和鍺所構成的群組。該元素可具有高圖案化輻射吸收橫剖面。在一些實施例中,該元素可具有高EUV吸收橫剖面。在一些實施例中,含金屬EUV光阻可具有大於30%的整體吸收率。在全乾式微影處理中,這提供更有效率的EUV光子運用,而能夠對較厚、及較EUV-不透光的光阻進行顯影。
顯影處理的示例係涉及使含有機錫氧化物的EUV敏感性光阻薄膜(例如,10-30 nm厚,像是20 nm)歷經EUV曝光劑量及曝光後烘烤、並接著進行顯影。所述光阻膜可例如係基於有機錫前驅物(例如,異丙基(參)(二甲基胺基)錫)以及水蒸氣的氣相反應而沉積、或是可為在有機基質中包括錫團簇(tin cluster)的旋塗膜。
透過暴露至顯影化學品,可將該經光圖案化的含金屬EUV光阻進行顯影,其中所述顯影化學品係含鹵化物化學品。在一些實施例中,所述顯影化學品包括氫及鹵化物,例如鹵化氫(例如,HBr或HCl)、或氫及鹵素氣體(例如,H2
及Cl2
)。在一些實施例中,所述顯影化學品包括鹵化氫、氫及鹵素氣體、三氯化硼、或其組合。可透過使用含鹵化物化學品的濕式顯影、或是使用含鹵化氫化學品的乾式顯影來完成該EUV光阻的顯影。在使用濕式顯影以將該EUV光阻進行顯影的實施例中,可將該濕式顯影結合其他濕式處理操作,像是該含金屬EUV光阻膜的濕式沉積(例如,旋塗沉積)。或者,該濕式顯影可結合其他乾式處理操作,例如該含金屬EUV光阻膜的氣相沉積(例如,CVD)。在使用乾式顯影以將該EUV光阻進行顯影的實施例中,可將該乾式顯影結合其他乾式處理操作,例如該含金屬EUV光阻膜的乾式沉積(例如,CVD)。在使用乾式顯影以將該EUV光阻進行顯影的替代實施例中,可將該乾式顯影結合其他濕式處理操作,例如該含金屬EUV光阻膜的濕式沉積(例如,旋塗沉積)。
在一些實施例中,該半導體基版的處理可結合所有乾式步驟,所述乾式步驟包括透過氣相沉積的膜形成、EUV微影圖案化、以及乾式顯影。實際上,在處理100中的各操作102-112可為乾式處理操作。這種處理操作可避免與濕式處理操作(例如,濕式顯影)相關的材料和生產成本。乾式處理可提供更多的可調整性,並提高臨界尺寸(CD)的進一步控制、以及殘渣的移除。濕式處理通常涉及濕氣、及/或氧,而更容易導致殘渣形成。濕式顯影係受限於溶解度和團簇尺寸,然而乾式顯影並不受溶解度和團簇尺寸所限制。濕式顯影更容易具有圖案崩塌和剝落的問題,而乾式顯影避免這些問題。除此之外,使用全乾式處理操作可有利於整合在相互連結的真空處理腔室內,而不暴露至環境空氣、或其中包含的微量汙染物,並且受到環境空氣或其中包含的微量汙染物所汙染。舉例來說,在期間使經曝光區域進行進一步交聯的PEB熱處理可在與顯影相同的腔室中進行;然而將能理解的是,該PEB熱處理可在另一腔室中執行。
透過在液相、或氣相中輸送顯影化學品可完成顯影處理。在一些實施例中,透過在流動含鹵化氫的乾式顯影化學品(例如,HF、HCl、HBr、或HI)時使用溫和電漿(高壓力、低功率)、或熱處理可完成乾式顯影處理。舉例來說,乾式顯影可在使用乾式顯影化學品(例如,HCl或HBr)的熱處理中完成。在一些實施例中,所述含鹵化氫化學品能夠迅速移除未曝光材料,留下經曝光膜的圖案,該圖案可透過基於電漿的蝕刻處理(例如,習知的蝕刻處理)而轉移至下伏層中。
在熱顯影處理中,係在處理腔室(例如,烘箱)中將基板暴露至顯影化學品(例如,路易士酸)。在一些實施例中,真空管線係耦接至該處理腔室以用於控制壓力,而顯影化學品管線可耦接至該處理腔室以用於將顯影化學品輸送至該處理腔室中。該處理腔室可包括用於控制溫度的一或更多加熱器,例如將加熱器耦接至該處理腔室內的基板支撐件,以用於控制基板溫度。在一些實施例中,可在該腔室內部塗覆抗腐蝕膜,例如有機聚合物或無機塗層。這種塗層的其中一種為聚四氟乙烯(PTFE),例如鐵氟龍1M。這種材料可使用於本揭露的熱處理中,而不具被電漿暴露所移除的風險。
在熱顯影處理中,係在一溫度下將該經光圖案化的含金屬EUV光阻暴露至顯影化學品,其中該溫度係為了經曝光與未曝光區域之間的蝕刻選擇性而加以優化。較低的溫度可能增加蝕刻選擇性中的對比,而較高的溫度可能減低蝕刻選擇性中的對比。在一些實施例中,溫度可介於約-60°C與約120°C之間、介於約-20°C與約60°C之間、或介於約-20°C與約20°C之間,例如約為-10°C。可調整腔室壓力,其中腔室壓力可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可為相對低且不伴隨著稀釋,其中該腔室壓力可介於約0.1 mTorr與約300 mTorr之間、介於約0.2 mTorr與約100 mTorr之間、或介於約0.5 mTorr與約50 mTorr之間。在一些實施例中,該腔室壓力可介於約20 mTorr與約800 mTorr之間、或介於約20 mTorr與約500 mTorr之間,例如約為300 mTorr。在一些實施例中,腔室壓力可為相對高、具有高流量且伴隨著稀釋,其中該腔室壓力可介於約100 mTorr與約760 mTorr之間、或介於約200 mTorr與約760 mTorr之間。可調整反應物流量,其中反應物流量可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,反應物流量可介於約50 sccm與約2000 sccm之間、介於約100 sccm與約2000 sccm之間、或介於約100 sccm與約1000 sccm之間,例如約為500 sccm。在伴隨高流量的情況下,反應物流量可介於約1 L與約10 L之間。可調整熱顯影處理中的暴露持續時間。暴露的持續時間可取決於需要移除多少光阻、顯影化學品、光阻中的交聯量、以及光阻的組成和性質等其他因素。在一些實施例中,暴露的持續時間可介於約5秒與約5分鐘之間、介於約10秒與約3分鐘之間、或介於約10秒與約1分鐘之間。
熱顯影處理可將該經光圖案化的含金屬EUV光阻暴露至氣相或液相中的某些含鹵化物化學品。在一些實施例中,所述顯影化學品包括鹵化氫、氫與鹵素氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。鹵化氫可包括但不限於HF、HCl、HBr、及HI。舉例來說,鹵化氫可為HCl、或HBr。氫與鹵素氣體可包括但不限於氫氣(H2
)混合F2
、Cl2
、Br2
、或I2
。三氯化硼(BCl3
)可與前述的鹵化氫、或氫與鹵素氣體的任何者結合使用。有機鹵化物可包括但不限於Cx
Hy
Fz
、Cx
Hy
Clz
、Cx
Hy
Brz
、及Cx
Hy
Iz
,其中x、y、和z為等於或大於0的數值。醯基鹵化物可包括但不限於CH3
COF、CH3
COCl、CH3
COBr、及CH3
COI。羰基鹵化物可包括但不限於COF2
、COCl2
、COBr2
、及COI2
。亞硫醯基鹵化物可包括但不限於SOF2
、SOCl2
、SoBr2
、及SOI2
。在一些實施例中,含鹵化物化學品可在具有、或不具有惰性/載體氣體的情況下流動,惰性/載體氣體例如為He、Ne、Ar、Xe、及N2
。
熱顯影處理可在不具電漿的情況下完成。透過應用非電漿的熱方法可顯著改善生產力,原因在於可在低成本的熱真空腔室/烘箱中同時對複數晶圓進行批次式(batch)顯影。然而,在一些實施例中,熱顯影處理可接著進行暴露至電漿。後續暴露至電漿可進行以用於脫附、去殘渣、平滑化、或其他處理操作。
在電漿顯影處理中,係將該經光圖案化的含金屬EUV光阻暴露至顯影化學品,該顯影化學品包括一或更多氣體的自由基/離子。處理半導體基板所用的處理腔室可為電漿產生腔室、或是耦接至遠離該處理腔室的電漿產生腔室。在一些實施例中,乾式顯影可藉由遠端電漿進行。該電漿產生腔室可為使用本領域中所習知之配備與技術的感應耦合式電漿(ICP)反應器、變壓耦合式電漿(TCP)反應器、或電容耦合式電漿(CCP)反應器。電磁場係作用在該一或更多氣體上以在該電漿產生腔室中製造電漿。來自該遠端電漿的離子及/或自由基可與該經光圖案化的含金屬EUV光阻交互作用。在一些實施例中,真空管線係耦接至該處理腔室以用於控制壓力,而顯影化學品管線可耦接至該電漿產生腔室以用於將該一或更多氣體輸送至該電漿產生腔室中。該處理腔室可包括用於控制溫度的一或更多加熱器,例如將加熱器耦接至該處理腔室內的基板支撐件以用於控制基板溫度。在一些實施例中,可在該處理腔室內部塗覆抗腐蝕膜,例如有機聚合物或無機塗層。這種塗層的其中一種為聚四氟乙烯(PTFE),例如鐵氟龍1M。這種材料可使用於本揭露的熱處理中,而不具被電漿暴露所移除的風險。
在電漿顯影處理中,在複數條件下將該經光圖案化的含金屬EUV光阻暴露至遠端電漿,其中所述條件係為了經曝光與未曝光區域之間的蝕刻選擇性而最佳化。所述條件可經優化以用於產生溫和電漿,其中溫和電漿的特徵在於高壓與低功率。可調整腔室壓力,其中腔室壓力可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可等於或大於約5 mTorr、或是等於或大於約15 mTorr。在一些實施例中,腔室壓力可為相對高、具有高流量且伴隨著稀釋,其中該腔室壓力可介於約100 mTorr與約760 mTorr之間、或介於約200 mTorr與約760 mTorr之間。可調整RF功率層級,其中RF功率可影響蝕刻選擇性、粗糙度、去殘渣、和其他顯影特性。在一些實施例中,RF功率可等於或少於約1000 W、等於或少於約800 W、或是等於或少於約500 W。可調整溫度,其中溫度可影響顯影的各種層面,例如蝕刻選擇性。在一些實施例中,溫度可介於約-60°C與約300°C之間、介於約0°C與約300°C之間、或介於約30°C與約120°C之間。可調整氣體流量,其中氣體流量可影響顯影期間經曝光與未曝光區域之間的蝕刻選擇性。在一些實施例中,氣體流量係介於約50 sccm與約2000 sccm之間、介於約100 sccm與約2000 sccm之間、或介於約200 sccm與約1000 sccm之間,例如約為500 sccm。可調整電漿顯影處理中的暴露持續時間。暴露的持續時間可取決於需要移除多少光阻、顯影化學品、光阻中的交聯量、以及光阻的組成和性質等其他因素。在一些實施例中,暴露的持續時間可介於約1秒與約50分鐘之間、介於約3秒與約20分鐘之間、或介於約10秒與約6分鐘之間。
電漿顯影處理可將該經光圖案化的含金屬EUV光阻暴露至某些含鹵化物氣體的自由基。在一些實施例中,自由基係從遠端電漿來源產生。舉例來說,電漿顯影可將該經光圖案化的含金屬EUV光阻暴露至從該遠端電漿來源所產生的氫與鹵化物氣體之自由基。在一些實施例中,含鹵化物氣體係包括鹵化氫、氫與鹵素氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。鹵化氫可包括但不限於氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)、及碘化氫(HI)。舉例來說,鹵化氫可為HCl、或HBr。氫與鹵素氣體可包括但不限於氫氣(H2
)混合氟氣(F2
)、氯氣(Cl2
)、溴氣(Br2
)、或碘氣(I2
)。有機鹵化物可包括但不限於Cx
Hy
Fz
、Cx
Hy
Clz
、Cx
Hy
Brz
、及Cx
Hy
Iz
,其中x、y、和z為等於或大於0的數值。醯基鹵化物可包括但不限於CH3
COF、CH3
COCl、CH3
COBr、及CH3
COI。羰基鹵化物可包括但不限於COF2
、COCl2
、COBr2
、及COI2
。亞硫醯基鹵化物可包括但不限於SOF2
、SOCl2
、SoBr2
、及SOI2
。在一些實施例中,含鹵化物氣體可在具有、或不具有惰性/載體氣體的情況下流動,所述惰性/載體氣體例如為He、Ne、Ar、Xe、及N2
。
作為電漿活化的附加或替代,可透過光活化在乾式顯影處理中進行一或更多氣體的活化。在一些實施例中,可藉由暴露至紫外(UV)輻射以達成光活化。舉例來說,處理腔室可包括配置以產生UV輻射的燈件,例如UV燈。將一或更多氣體暴露至UV輻射可產生該一或更多氣體的自由基,所述自由基可使用於該經光圖案化的含金屬EUV光阻的乾式顯影中。可以不將經光圖案化的光阻暴露至UV輻射的方式將一或更多氣體暴露至UV輻射。換言之,該經光圖案化的光阻是在UV燈的範圍之外。因此,可將該UV燈遠離該處理腔室、或是以避免將該經光圖案化的光阻暴露至UV輻射的方式進行設置。
將能理解的是,可將前述的熱顯影、電漿顯影、及光活化顯影方法彼此結合。這些顯影方法可同時、或依序地應用。所述顯影方法可在乾式顯影化學品以液相或氣相進行流動時應用,其中該乾式顯影化學品可包括化學式Rx
Zy
的化合物,其中R = B、Al、Si、C、S、SO,伴隨著x > 0,且Z = Cl、H、Br、F、CH4
且y > 0。該顯影可造成正調性、或是負調性的結果,其中該Rx
Zy
物種係將未曝光或經曝光材料選擇性移除,留下經曝光或未曝光的相對部分以作為遮罩。
如上所述,藉由控制處理條件,所述處理條件例如是溫度、壓力、氣體流動、氣體組成、及電漿功率等的可調整處理條件,乾式顯影期間的蝕刻選擇性係可調整的。以單一步驟、或複數步驟來調整蝕刻選擇性可達成期望的圖案化特性。在一些實施例中,乾式顯影期間的蝕刻選擇性係橫跨一或更多步驟加以調整,以影響EUV光阻輪廓。更具體而言,藉由在一或更多步驟期間應用不同蝕刻選擇性的顯影化學品,可控制該EUV光阻輪廓中的漸縮量(amount of taper)、或是凹進角(re-entrant angle)。去殘渣、光阻的重工(photoresist reworking)、固化、平滑化、及清洗操作亦可根據可調整的蝕刻選擇性而加以調整。
圖2A-2C係根據一些實施例而顯示乾式顯影的各種處理階段之橫剖面示意圖。圖2A-2C所顯示的示例係繪示負調性乾式顯影。如圖2A中所顯示,晶圓200包括基板202、以及待蝕刻的基板層204。在一些實施例中,基板層204包括可灰化的硬遮罩(例如,旋塗式碳SoC)或其他材料,例如矽、矽氧化物、矽氮化物、矽碳化物等。在一些實施例中,基板層204可為設置在基板202上的層堆疊。晶圓200更包括經光圖案化含金屬EUV光阻膜206。舉例來說,經光圖案化含金屬EUV光阻膜206可為設置在待蝕刻基板層204上方的含有機金屬層。經光圖案化含金屬EUV光阻膜206可具有介於約5 nm與約50 nm之間、或介於約10 nm與約30 nm之間的厚度。在EUV掃描器中進行光圖案化後、及/或在如上所述的PEB處理之後,可在處理腔室中提供經光圖案化含金屬EUV光阻膜206。該經光圖案化含金屬EUV光阻膜206包括非EUV曝光區域206a以及經EUV曝光區域206b。如圖2B中所顯示,透過在不點燃電漿而暴露至乾式顯影化學品的流的情況下,可在乾式顯影處理中移除該經光圖案化含金屬EUV光阻膜206的非EUV曝光區域206a。乾式顯影化學品可包括含鹵化物化學品,例如鹵化氫、或氫與鹵素的氣體。在透過移除非EUV曝光區域206a的顯影過後,係形成光阻遮罩208。隨後,可使用該光阻遮罩208將待蝕刻的基板層204進行蝕刻,以提供圖2C中所繪示的結構。
圖3係根據一些實施例所繪示的示例性乾式顯影機制,以用於HBr對於EUV光阻的經曝光與未曝光部分的化學反應。圖3繪示可能的乾式顯影機制,然而將能理解的是,本揭露並不受限於任何特定機制、功能、理論、或用途。有機金屬氧化物膜可具有四面體配位結構。經曝光區域具有較高的Sn-O-Sn交聯程度,導致較高的密度、以及對於HBr或HCl的較低/較慢反應性。由於大型烷基取代基的存在而阻礙接近路徑以及Sn-OH基團的縮合,因此未曝光區域展現較低的密度。在未曝光區域中,鹵化氫係較易於將具有較四面體配位的有機錫氧化物氫氧化物特徵的較「鹼性」且可及的氧孤對電子予以質子化。RSnX3(其中X=Cl或Br)的揮發性副產物可迅速產生並從該未曝光區域移除。在圖3中,HBr將氧孤對電子選擇性地質子化,以形成R-Sn-Br的揮發性副產物。水也是一種副產物。將水移除可提高反應速度。當烷基為異丙基時,在典型的EUV圖案化劑量下會將每3個異丙基中的至少2個移除,使得PEB步驟過後經曝光區域縮合形成較高密度的較SnO2
狀材料,而展現了與鹵化氫的較低反應性,原因在於採用了較為六方配位(hexacoordinate)的錫結構,在該結構中氧原子的可及性較低而造成與鹵化氫更加緩慢的反應性。在圖3中,經曝光區域歷經乾蝕刻速率的大幅下降係有關於異丙基的減少,以允許縮合成具有較多/大部分氧原子與3個(而並非2個)錫原子鍵結的材料,而顯著地降低與HBr或HCl的反應速率。
在一些情況下,在顯影過後可能存在殘留物或殘渣。殘留物可能係由於較不均質的EUV光阻配方(包括透過旋轉塗佈技術所施加的那些)中較慢的蝕刻成分所導致。這種殘渣可能包含高金屬濃度,而可能在後續圖案轉移期間係具有問題性的。
附加地或替代性地,顯影過後,粗糙度可能形成在經顯影圖案中的受蝕刻特徵部之側壁上。其中一些可歸因於光的隨機性(stochastics)、或非最佳高斯分佈(non-optimal Gaussian distribution),而在應當將光阻保持未曝光的區域中形成部分、或完全曝光的材料,或是反之亦然。
在一些實施例中,乾式顯影可藉由去殘渣/平滑化操作而加以完成。在一些實施例中,去殘渣及平滑化操作可為一惰性氣體電漿脫附操作。舉例來說,該惰性氣體電漿脫附操作可為氦電漿脫附操作。該惰性氣體電漿脫附操作可在乾式顯影後執行、或是與乾式顯影循環進行。
圖4A係根據一些實施例而顯示在不施加惰性氣體電漿的情況下進行乾式顯影的橫剖面示意圖。經光圖案化的含金屬EUV光阻膜包括經曝光與未曝光區域。如圖4A中所顯示,金屬氧化物(例如,SnOx
)的微粒或團簇可佔據該未曝光區域。隨著乾式顯影的進行,該金屬氧化物的團簇變得更加集中。該金屬氧化物的團簇通常難以移除。顯影可對於有機材料的移除具有選擇性。在移除該未曝光區域後,該金屬氧化物的團簇可能會存留在基板的表面上而作為殘渣。在該經曝光區域的側壁上所存留的金屬氧化物團簇可能會造成粗糙度。
圖4B係根據一些實施例而顯示在將惰性氣體電漿循環以去殘渣的情況下進行乾式顯影的橫剖面示意圖。第一階段涉及乾式顯影以移除該經光圖案化的含金屬EUV光阻膜之未曝光區域的大部分。乾式顯影化學品例如可包括HBr。所述的大部分可表示至少大於該未曝光區域的70體積%、大於該未曝光區域的80體積%、或大於該未曝光區域的90體積%。金屬氧化物的團簇會集中在該EUV光阻膜的剩餘未曝光區域的表面處。第二階段涉及將具有低功率與高離子能量的惰性氣體電漿(例如,氦電漿)施加短暫的一段持續時間。氦電漿將該金屬氧化物的團簇移除。除此之外,氦電漿將團簇從側壁移除並將側壁平滑化。氦電漿處理還可用以協助將經圖案化的EUV光阻膜硬化、或固化,以形成較緊密的類金屬氧化物硬遮罩。在氦電漿處理後,可使用選擇性較低的乾式蝕刻步驟以移除在該EUV光阻膜的未曝光區域中所存留的任何殘留物。
在一些實施例中,乾式顯影可與氦電漿處理循環進行一或更多循環,直到移除該EUV光阻膜的未曝光區域。為了加強效果,可將氦電漿去殘渣/平滑化與乾式顯影進行循環(如上所述)。在此方式中,例如圖案的未曝光區域之大部分有機成分係由乾式顯影所移除,接著短暫的氦電漿操作可移除表面處的一些集中金屬,以開放觸及剩餘的下伏有機材料,而可接著在後續的乾式顯影操作/循環中將所述下伏有機材料移除。另一氦電漿循環可用以移除任何剩餘的金屬,以留下乾淨且平滑的特徵部表面。循環可續行直到將所有、或實質所有的殘渣與粗糙度殘留物移除,以留下乾淨、且平滑的特徵部表面。
在顯影期間或之後可控制去殘渣與平滑化操作所用的處理條件。在一些實施例中,反應物流量可介於約50 sccm與約1000 sccm之間、或介於約100 sccm與約500 sccm之間,例如約為500 sccm的He。在一些實施例中,溫度可介於約-60°C與約120°C之間、介於約-20°C與約60°C之間、或介於約20°C與約40°C之間,例如約為20°C。在一些實施例中,腔室壓力可介於約1 mTorr與約300 mTorr之間、介於約5 mTorr與約100 mTorr之間、介於約5 mTorr與約20 mTorr之間,例如約為10 mTorr。電漿功率可為相對低且伴隨高離子能量。在一些實施例中,電漿功率可介於約50 W與約1000 W之間、介於約100 W與約500 W之間、或介於約100 W與約300 W之間,例如約為300 W。在一些實施例中,晶圓偏壓係介於約10 V與約500 V之間、介於約50 V與約300 V之間,例如約為200 V。可使用高RF頻率來產生電漿。在一些實施例中,RF頻率為13.56 MHz。暴露至惰性氣體電漿的持續時間可為相對短的,以避免在電漿暴露期間過度暴露至UV輻射。在一些實施例中,暴露的持續時間係介於約0.5秒與約5秒之間、介於約1秒與約3秒之間,例如約為2秒。
用於將未曝光光阻殘留物進行去殘渣、與清潔的惰性氣體電漿處理可具有將經曝光光阻固化而使其硬化的附帶益處,從而在用以蝕刻下伏基板的後續操作中強化它的硬遮罩功能。這種光阻硬化係藉由將經EUV曝光光阻暴露至由惰性氣體電漿所產生的UV輻射而達成,在關閉偏壓的情況下,該光阻硬化可在完成去殘渣/平滑化之後繼續進行。若不需要、或不執行去殘渣/平滑化時,可替代性地執行惰性氣體電漿固化。
在一些實施例中,惰性氣體電漿脫附去殘渣與平滑化可與濕式顯影處理共同使用。濕式顯影具有非常高的選擇性,並且已顯示以展現明顯的開/關行為,造成濕式顯影處理無法移除由「雜散的」EUV光子所曝光的區域。接著,在濕式顯影處理後會留下剩餘的殘留物,造成殘渣、以及高的線邊緣與寬度粗糙度。有趣的是,由於乾式顯影處理的可調整性,其中可基於複數調整項(例如,時間、溫度、壓力、氣體/流量)來調整蝕刻速率與選擇性,可進一步施加惰性氣體電漿及/或乾式顯影以藉由將這些經部分曝光的殘留物移除,而將含金屬光阻線路去殘渣及平滑化。
圖5顯示一圖表,該圖表係比較在乾式顯影期間使用氦電漿的EUV光阻的經曝光與未曝光部分之間的蝕刻速率。EUV光阻可為有機錫氧化物EUV光阻。未曝光部分係以比經曝光部分更快的速率進行蝕刻。然而,隨著利用HBr的乾式顯影進行,蝕刻速率減緩。不受限於任何理論,據信錫氧化物微粒/團簇的存在會使蝕刻速率減慢。藉由施加氦脫附,可蝕刻較多的EUV光阻未曝光部分。
圖6A與6B顯示將濕式顯影與乾式顯影關於線路崩塌加以比較的SEM影像。在圖6A中,將經光圖案化的含金屬EUV光阻暴露至濕式顯影化學品,例如有機溶劑。在液體乾燥步驟後,觀察到些許圖案線路崩塌。這可能部分歸因於來自毛細作用力的表面張力效應。在圖6B中,將經光圖案化的含金屬EUV光阻暴露至乾式顯影化學品,例如鹵化氫氣體。透過不具液體乾燥步驟的氣相反應,乾式顯影防止了圖案線路崩塌或剝落。
圖7A與7B顯示將濕式顯影與乾式顯影關於控制粗糙度及臨界尺寸(CD)加以比較的SEM影像。在圖7A與7B中,在可灰化硬遮罩上沉積有機錫氧化物膜。該有機錫氧化物膜係在不同劑量和不同聚焦深度下進行EUV曝光。在圖7A中,該有機錫氧化物膜係進行濕式顯影,而在圖7B中係進行乾式顯影。在濕式顯影後,有機錫氧化物光阻遮罩具有方形輪廓,而在乾式顯影後,有機錫氧化物光阻遮罩具有錐形輪廓。在濕式顯影中,在進行圖案轉移後係觀察到線橋接(bridging),而在乾式顯影中進行圖案轉移後並未觀察到線橋接。由於在濕式顯影後進行溶劑乾燥期間的表面張力,在較小線寬、或較低劑量處會觀察到發生線崩塌與擺動(wiggling)。在乾式顯影後,在較小線寬、或較低劑量處並未發生線崩塌或擺動。利用乾式顯影,較大的製程裕度係可用於較廣的劑量與聚焦範圍。
圖8顯示將濕式顯影與乾式顯影關於在硬遮罩開口後的殘渣加以比較的SEM影像。如圖8所顯示,比起乾式顯影後,在濕式顯影後係觀察到較多的殘渣。不受限於任何理論,乾式顯影使用不包含氧、或濕氣氧化來源的氣體化學品,防止了未曝光EUV光阻的金屬氧化物交聯,從而防止殘渣形成。殘渣係類似於具有金屬氧化物交聯的經曝光EUV光阻。在圖8中,在濕式顯影或乾式顯影後可執行去殘渣與平滑化操作。
圖9A與9B顯示複數圖表,該等圖表係繪示在不同壓力及溫度的情況下,第二曝光後烘烤操作對於乾式顯影的選擇性所造成的影響。如圖9A所顯示,第二曝光後烘烤操作展現改善的蝕刻選擇性。如圖9B所顯示,在乾式顯影期間,蝕刻選擇性係在較低溫度下改善。除此之外,在乾式顯影期間,蝕刻選擇性係在較低壓力下改善。
圖10顯示複數SEM影像,該等SEM影像繪示壓力對於EUV光阻輪廓的影響。對於乾式顯影,壓力變化會影響EUV光阻輪廓。一般來說,較高壓力能夠實現較高的蝕刻速率。然而,較低的壓力展現改善的EUV光阻輪廓。圖10中的較低壓力形成較直的EUV光阻輪廓。
圖11A與11B顯示在不同線路/間隔的節距(pitch)、與不同厚度下的EUV光阻之SEM影像。將EUV光阻遮罩進行顯影以具有32 nm節距與26 nm節距。顯影前的膜厚度係介於15 nm與40 nm之間。對於32 nm節距,在顯影後,EUV光阻遮罩的厚度係介於7.8 nm與22.5 nm的範圍內。並未觀察到擺動。對於26 nm節距,在顯影後,EUV光阻遮罩的厚度係介於7.9 nm與22.2 nm的範圍內。由於光阻底部處的底切(undercut),對於等於或大於30 nm的膜厚度係觀察到些許擺動。設備
本揭露的設備係配置以顯影EUV光阻。該設備可配置以執行其他處理操作,例如沉積、晶邊及背側清洗、施加後烘烤、EUV掃描、曝光後烘烤、光阻重工、去殘渣、平滑化、固化、及其他操作。在一些實施例中,該設備係配置以執行所有乾式操作。在一些實施例中,該設備係配置以執行所有濕式操作。在一些實施例中,該設備係配置以執行濕式與乾式操作的組合。該設備可包括單一晶圓腔室、或是位於相同處理腔室內的複數站。利用位於相同處理腔室內的複數站,可在位於相同處理腔室內的不同站中執行例如本揭露中所描述的那些各種處理操作。舉例來說,可在一站中執行PEB熱處理,並在另一站中進行顯影。
配置用於將EUV光阻顯影的設備包括具有基板支撐件的處理腔室。該設備可包括耦接至該處理腔室以用於控制壓力的真空管線、以及耦接至該處理腔室以用於輸送顯影化學品的顯影化學品管線。在一些實施例中,該顯影化學品包括含鹵化物氣體、或是含鹵化物氣體的自由基。在一些實施例中,該處理腔室係電漿產生腔室、或是耦接至作為遠端電漿來源的電漿產生腔室。該電漿產生腔室可為ICP、TCP、或CCP反應器。該設備可包括用於控制溫度的一或更多加熱器。可將這種加熱器設置在該處理腔室內、及/或該基板支撐件內。
在一些實施例中,該處理腔室內部係塗覆抗腐蝕膜,例如聚合物或無機塗層。在一示例中,該處理腔室內部係塗覆陽極化氧化鋁。在另一示例中,該處理腔室內部係塗覆釔氧化物(Y2
O3
)。
在一些實施例中,該處理腔室係以例如塑膠的廉價材料所製成。該處理腔室不必以金屬或陶瓷所製成。塑膠材料可足以在顯影期間承受含鹵化物化學品。可將真空管線及/或顯影化學品管線耦接至塑膠腔室。
在一些實施例中,使用具有徑向和方位角構件的溫度分布件,可將基板支撐件用以處理基板。該基板支撐件可包括複數可獨立控制的溫度控制區域,該等溫度控制區域係被佈置在該等溫度控制區域上方的基板位置附近。這允許位於基板支撐件內的一或更多加熱器能較精確、且局部地控制溫度。可將該等溫度控制區域佈置成限定圖案,例如矩形網格、六角形網格、或其他合適圖案以用於產生所需的溫度分佈。在一些實施例中,可將該等溫度控制區域空間佈置在靜電卡盤中,以矯正方位角的不均勻性、或局部的CD不均勻性。
在一些實施例中,該設備可更包括噴淋頭,用於將一或更多氣體輸送至該處理腔室中。在一些實施例中,該噴淋頭可將多種不同的氣體供應至反應區域,同時在該噴淋頭內將該等氣體大程度地保持隔離。該噴淋頭可包括複數氣室容積。這准許將前驅物氣體、載體氣體、顯影氣體、及清洗氣體等其他化學品隔離。
從該處理腔室移除水或濕氣可加速經光圖案化的含金屬EUV光阻與顯影化學品的反應。在一些實施例中,可將冷凝阱(cold trap)耦接至該處理腔室以用於移除水蒸氣副產物。冷凝阱可將水蒸氣副產物凝結成液體或固體形式。
在一些實施例中,該設備可更包括UV源(例如,UV燈)、及/或IR源(例如,IR燈)以用於光阻固化及脫鹵。UV源及/或IR源可提供暴露至輻射以將EUV光阻固化。附加地或替代性地,UV源可協助顯影化學品的光活化。附加地或替代性地,UV源可協助移除鹵素。鹵素殘留物可能形成在半導體基板、或是腔室表面上,而可藉由UV暴露加以移除。
圖12繪示處理站1200之實施例的示意圖,該處理站1200具有用於維持低壓環境的處理腔室本體1202,該低壓環境係適合用於所述乾式顯影、清洗、重工、去殘渣與平滑化的實施例。可將複數處理站1200包括在公共低壓處理工具環境中。舉例來說,圖13繪示多站處理工具1300的實施例,例如可從Lam Research Corporation, Fremont, CA取得的VECTOR®處理工具。在一些實施例中,可透過一或更多電腦控制器對該處理站1200的一或更多硬體參數(包括詳述於下的那些)以編程方式進行調整。
可將處理站配置作為群集式工具中的模組。圖15繪示半導體處理的群集式工具架構,具有適合用於實行本文所揭露之實施例的真空整合沉積及圖案化模組。這種群集式處理工具架構可包括光阻沉積、光阻曝光(EUV掃描器)、光阻顯影、及蝕刻模組,如上所述及參照圖14及15而進一部描述於下。
在一些實施例中,在相同模組中可連續地執行某些處理功能,例如乾式顯影及蝕刻。本揭露的實施例係關於方法與設備,所述方法與設備係用於在EUV掃描器中進行光圖案化之後將晶圓接收至乾式顯影/蝕刻腔室,該晶圓包括設置在待蝕刻的層或層堆疊上的經光圖案化EUV光阻薄膜層;對經光圖案化EUV光阻薄膜層進行乾式顯影;並接著使用如本文中所述的經圖案化EUV光阻作為遮罩以將下伏層進行蝕刻。
回到圖12,處理站1200與反應物輸送系統1201流體連通,以用於將處理氣體輸送至分佈噴淋頭1206。反應物輸送系統1201任選地包括混合槽1204,該混合槽1204係用於將輸送至噴淋頭1206的處理氣體加以混合、及/或調和。一或更多混合槽入口閥1220可控制將處理氣體導入至混合槽1204。在使用電漿暴露的情況下,亦將電漿輸送至噴淋頭1206、或是可在處理站1200中產生電漿。如上所述,在至少一些實施例中,係偏好非電漿的熱暴露。
圖12包括任選的汽化點1203,以用於將待供應至混合槽1204的液體反應物汽化。在一些實施例中,可提供位於汽化點1203上游的液體流量控制器(LFC),以用於控制汽化及輸送至處理站1200的液體之質量流量。舉例來說,該LFC可包括位於該LFC下游的熱質量流量計(MFM)。接著,LFC的栓塞閥可響應於由比例-積分-微分(PID)控制器所提供的回饋控制信號而加以調整,其中該PID控制器係與該MFM電性連通。
噴淋頭1206將處理氣體進行分佈以朝向基板1212。在圖12中所顯示的實施例中,基板1212係位於噴淋頭1206下方並顯示置於基座1208上。噴淋頭1206可具有任何合適的形狀,並可具有任何合適的通口數量及配置,以用於將處理氣體分佈至基板1212。
在一些實施例中,可升起或下降基座1208以將基板1212暴露至介於基板1212與噴淋頭1206之間的容積1207。將能理解的是,在一些實施例中,可藉由合適的電腦控制器以編程方式對基座高度進行調整。在一些實施例中,噴淋頭1206可具有複數氣室容積,伴隨著複數溫度控制件。
在一些實施例中,可經由加熱器1210對基座1208進行溫度控制。在一些實施例中,在將經光圖案化光阻以非電漿的熱暴露至鹵化氫乾式顯影化學品(例如,在已揭露實施例中所述的HBr、或HCl)期間,可將基座1208加熱至大於0°C且高達300°C、或更高的溫度,例如50至120°C,例如約65至80°C。在一些實施例中,基座1208的加熱器1210可包括複數可獨立控制的溫度控制區域。
此外,在一些實施例中,透過蝶形閥1218可提供處理站1200所用的壓力控制。如圖12之實施例中所顯示,蝶形閥1218調節由下游真空幫浦(未顯示)所提供的真空。然而,在一些實施例中,處理站1200的壓力控制還可藉由改變被導引至處理站1200的一或更多氣體之流量而加以調整。
在一些實施例中,可將噴淋頭1206的位置相對於基座1208加以調整,以改變基板1212與噴淋頭1206之間的容積。此外,將能理解的是,可透過本揭露範圍內的任何合適機制來改變基座1208、及/或噴淋頭1206的垂直位置。在一些實施例中,基座1208可包括一旋轉軸,用以轉動基板1212的位向。將能理解的是,在一些實施例中,可藉由一或更多合適的電腦控制器以編程方式執行這些示例性調整的一或更多者。
在可使用電漿的情況下,例如在基於溫和電漿的乾式顯影實施例、及/或在相同腔室中所進行的蝕刻操作中,噴淋頭1206與基座1208係與射頻(RF)功率供應器1214、及匹配網路1216電性連通,以用於為電漿供電。在一些實施例中,透過控制處理站壓力、氣體濃度、RF源功率、RF源頻率、和電漿功率脈衝時間之中的一或更多者,可對電漿能量加以控制。舉例來說,可在任何合適的功率下操作RF功率供應器1214及匹配網路1216,以形成具有所需自由基物種組成物的電漿。合適功率的示例係高達約500W。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令來提供控制器所用的指令。在一示例中,可將為處理階段設定條件所用的指令包括在處理配方的相應配方階段中。在一些情況下,可依序排列處理配方階段,使得用於處理階段的所有指令係與該處理階段同時執行。在一些實施例中,可將用於設定一或更多反應器參數的指令包括在一配方階段中。舉例來說,配方階段可包括用於設定乾式顯影化學品反應物氣體(例如,HBr或HCl)之流量的指令、以及該配方階段所用的時間延遲指令。在一些實施例中,控制器可包括參照圖13的系統控制器1350而描述於下的任何特徵。
如上所述,在多站處理工具中可包括一或更多處理站。圖13顯示多站處理工具1300之實施例的示意圖,該多站處理工具1300具有入站(inbound)負載鎖室1302以及出站(outbound)負載鎖室1304,其中的一者或兩者可包括遠端電漿來源。處於大氣壓力下的機器人1306係配置以將基板從透過傳送盒1308進行裝載的晶舟通過大氣通口1310進到入站負載鎖室1302中。藉由機器人1306將晶圓放置在入站負載鎖室1302中的基座1312上,將大氣通口1310關閉,並且將負載鎖室進行抽氣。在入站負載鎖室1302包括遠端電漿來源的情況下,在晶圓被導引至處理腔室1314中之前可在負載鎖室內將其暴露於遠端電漿處理,以處理矽氮化物表面。此外,還可在入站負載鎖室1302中對晶圓進行加熱,例如以移除濕氣和已吸附氣體。接下來,開啟往處理腔室1314的腔室傳輸通口1316,且另一機器人(未顯示)將晶圓放入反應器中、並位於在反應器中所顯示之第一站的基座上以進行處理。雖然在圖13中所繪示的實施例係包括負載鎖室,但將能理解的是,在一些實施例中,可提供將晶圓直接進入處理站中。
所繪示的處理腔室1314包括四個處理站,在圖13中所顯示的實施例中係從1到4進行編號。各站具有加熱基座(顯示為站1的基座1318)、及氣體管線入口。將能理解的是,在一些實施例中,各處理站可具有不同、或複數用途。舉例來說,在一些實施例中,處理站可在乾式顯影與蝕刻處理模式之間切換。附加地或替代性地,在一些實施例中,處理腔室1314可包括乾式顯影與蝕刻處理站的一或更多匹配對(matched pair)。雖然所繪示的處理腔室1314包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖13繪示晶圓搬運系統1390的實施例,用於在處理腔室1314中傳輸晶圓。在一些實施例中,晶圓搬運系統1390可在各種處理站之間、及/或在處理站與負載鎖室之間傳輸晶圓。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性的示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖13還繪示系統控制器1350的實施例,該系統控制器1350係使用以控制處理工具1300的處理條件與硬體狀態。系統控制器1350可包括一或更多記憶裝置1356、一或更多大量儲存裝置1354、以及一或更多處理器1352。處理器1352可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器1350控制著處理工具1300的所有活動。系統控制器1350執行系統控制軟體1358,該系統控制軟體1358係儲存在大量儲存裝置1354中、載入至記憶裝置1356中、以及在處理器1352上執行。或者,可將控制邏輯硬編碼至控制器1350中。特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘極陣列、或FPGA)等可為了這些用途而使用。下列討論中,無論在何處使用「軟體」或「編碼」,均可在該處使用功能性相當的硬編碼邏輯。系統控制軟體1358可包括複數指令,用於控制:時間、氣體混合、氣體流量、腔室及/或站的壓力、腔室及/或站的溫度、晶圓溫度、目標功率層級、RF功率層級、基板基座、卡盤及/或承受器位置、以及由處理工具1300所執行的特定處理之其他參數。系統控制軟體1358可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所使用的處理工具構件之操作進行控制。系統控制軟體1358可在任何合適的電腦可讀編程語言中進行編碼。
在一些實施例中,系統控制軟體1358可包括用於控制上述各種參數的輸入/輸出控制(IOC)序列指令。在一些實施例中,可使用儲存在與系統控制器1350相關的大量儲存裝置1354及/或記憶裝置1356上的其他電腦軟體及/或程式。為了此目的的程式、或程式部分的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括處理工具構件所用的程式編碼,用以將基板裝載至基座1318上、並且控制基板與處理工具1300的其他部件之間的間距。
處理氣體控制程式可包括編碼,該編碼係用於控制含鹵化物氣體組成(例如,如本文所述之HBr、或HCl)及流量,並且任選地在沉積之前用於將氣體流入一或更多處理站中以穩定該處理站內之壓力。壓力控制程式可包括編碼,用於例如透過調節處理站之排氣系統內的節流閥、進入該處理站內的氣流等,以控制該處理站內之壓力。
加熱器控制程式可包括用於控制往加熱單元之電流的編碼,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往基板的傳輸。
電漿控制程式可包括編碼,用於根據本文的實施例以對施加至一或更多處理站內的處理電極之RF功率層級進行設定。
壓力控制程式可包括用於根據本文的實施例以維持反應腔室內之壓力的編碼。
在一些實施例中,可存在與系統控制器1350相關的使用者介面。所述使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器、以及例如指向裝置、鍵盤、觸碰螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器1350所調整的參數可與處理條件有關。非限制性的示例包括處理氣體的組成及流量、溫度、壓力、電漿條件(例如,RF偏壓功率層級)等。這些參數可透過配方的形式而提供給使用者,該配方可運用該使用者介面加以輸入。
透過來自各種處理工具感測器之系統控制器1350的類比及/或數位輸入連接件,可提供用於監控處理的複數信號。可將用於控制處理的該等信號輸出在處理工具1300的類比及數位輸出連接件上。可受監控之處理工具感測器的非限制性示例包括質量流量控制器、壓力感測器(例如,壓力計)、熱電耦等。經適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持處理條件。
系統控制器1350可提供用於實施上述沉積處理的程式指令。所述程式指令可控制各種處理參數,像是DC功率層級、RF偏壓功率層級、壓力、溫度等。所述指令可根據本文所述的各種實施例來控制該等參數,以操作顯影及/或蝕刻處理。
系統控制器1350通常將包括一或更多記憶裝置、以及配置以執行指令的一或更多處理器,使得該設備將根據所揭露的實施例而執行方法。可將包含指令的機器可讀媒體耦接至系統控制器1350,所述指令係用於根據所揭露的實施例而控制處理操作。
在一些實施例中,系統控制器1350為系統的一部分,其可為上述示例的一部份。這樣的系統可包括半導體處理配備,包括一或更多處理工具、一或更多腔室、一或更多的處理用平台、及/或特定處理構件(晶圓基座、氣體流量系統等)。這些系統可與在處理半導體晶圓或基板之前、期間、及之後將其操作進行控制之電子元件整合在一起。所述電子元件可稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理條件及/或系統類型,可將系統控制器1350進行編程以控制本文揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、位置及操作設定、晶圓對於工具、其他傳輸工具、及/或與特定系統連接或接合之負載鎖室的傳入及傳出。
廣義而言,可將系統控制器1350界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與系統控制器1350通信的指令,以定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間完成一或更多處理步驟。
在一些實施例中,系統控制器1350可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,系統控制器1350可位於「雲端」、或FAB主電腦系統的全部或一部分,而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,接著將所述參數及/或設定從該遠端電腦傳送至系統。在一些示例中,系統控制器1350接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型、以及系統控制器1350所配置以連接或控制的工具類型。因此,如上所述,系統控制器1350可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的處理與控制)而運作。為了此目的所分佈的控制器示例將係位於腔室上的一或更多積體電路,所述積體電路係與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描器)或模組、顯影腔室或模組、以及可有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,系統控制器1350可通信至一或更多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載通口。
在某些實施例中,感應耦合式電漿(ICP)反應可能適合用於蝕刻操作,所述蝕刻操作係適合用於實施現正描述的一些實施例。雖然此處係描述ICP反應器,但應當理解的是,在一些實施例中還可使用電容式耦合電漿反應器。
圖14係示意性地顯示感應耦合式電漿設備1400的橫剖面圖,該感應耦合式電漿設備1400係適合用於實行某些實施例、或是實施例的態樣,例如乾式顯影及/或蝕刻,感應耦合式電漿設備1400的一示例係由Lam Research Corp. of Fremont, CA所製造的Kiyo®反應器。在其他實施例中,可將其他工具、或工具類型用於實行例,所述其他工具、或工具類型係具有進行本文所述的乾式顯影及/或蝕刻處理的功能性。
感應耦合式電漿設備1400包括由腔室壁1401及窗部1411所結構界定的總處理腔室。腔室壁1401可從不鏽鋼、鋁、或塑膠加工而得。窗部1411可從石英、或其他介電材料加工而得。任選的內部電漿網格1450將該總處理腔室區分為上部子腔室1402、及下部子腔室1403。在大多數實施例中,可將電漿網格1450移除,從而運用由子腔室1402及1403所形成的腔室空間。卡盤1417係設置於下部子腔室1403中、且接近底部內表面。卡盤1417係配置以接收並固持半導體晶圓1419,其中在該半導體晶圓1419上係執行蝕刻與沉積處理。當存在時,卡盤1417可為用於支撐晶圓1419的靜電卡盤。在一些實施例中,當存在於卡盤1417上時,邊緣環(未顯示)係環繞卡盤1417,並且具有與晶圓1419的頂表面大致呈平面的上部表面。卡盤1417還包括用於將晶圓1419夾持、及解夾(dechucking)的靜電電極。過濾器及DC夾具電源(未顯示)可為此目的而提供。還可提供用於將晶圓1419從卡盤1417提起的其他控制系統。使用RF電源1423可將卡盤1417充電。RF電源1423係透過連接件1427而與匹配電路1421連接。匹配電路1421係透過連接件1425而與卡盤1417連接。在此方法中,RF電源1423係與卡盤1417連接。在各種實施例中,可將靜電卡盤的偏壓功率設定為約50 V、或是可取決於所揭露的實施例執行處理而設定不同的偏壓功率。舉例來說,偏壓功率可介於約20 V與約100 V之間、或介於約30 V與約150 V之間。
用於產生電漿的元件包括設置在窗部1411上的線圈1433。在一些實施例中,線圈並未使用於所揭露的實施例中。線圈1433係從導電材料加工而得,並且包括至少一完整的匝(turn)。圖14中所顯示的線圈1433之示例係包括三匝。線圈1433的橫剖面係伴隨符號加以顯示,具有「X」的線圈係迴旋延伸進入頁面,而具有「●」的線圈係迴旋延伸出頁面。用於產生電漿的元件還包括RF電源1441,該RF電源1441係配置以將RF功率供應至線圈1433。一般而言,RF電源1441係透過連接件1445而與匹配電路1439連接。匹配電路1439係透過連接件1443而與線圈1433連接。在此方式中,RF電源1441係與線圈1433連接。任選的法拉第遮蔽件1449係設置在線圈1433與窗部1411之間。可將該法拉第遮蔽件1449相對於線圈1433保持在分隔開的關係中。在一些實施例中,該法拉第遮蔽件1449係緊鄰設置在窗部1411上方。在一些實施例中,該法拉第遮蔽件1449係介於窗部1411與卡盤1417之間。在一些實施例中,該法拉第遮蔽件1449相對於線圈1433係不保持在分隔開的關係中。舉例來說,法拉第遮蔽件1449可直接位於窗部1411下而不具間隙。線圈1433、法拉第遮蔽件1449、及窗部1411係各自實質平行於彼此而配置。法拉第遮蔽件1449可防止金屬、或其他物種沉積在處理腔室的窗部1411上。
處理氣體可經由設置在上部子腔室1402的一或更多主氣體流入口1460、及/或經由一或更多側氣體流入口1470而流入處理腔室中。同樣地,雖然並未明確顯示,但可將類似的氣體流入口用以將處理氣體供應至電容耦合式電漿處理腔室。真空幫浦(例如,一或二級的機械式乾燥幫浦、及/或渦輪分子幫浦1440)可用以將處理氣體抽出處理腔室,並維持該處理腔室中的壓力。舉例來說,在ALD的吹淨操作期間,可將真空幫浦用以對下部子腔室1403抽真空。閥控制的導管可用以將真空幫浦流體連接至處理腔室,以選擇性控制該真空幫浦所提供的真空環境之應用。這可透過在運行的電漿處理期間使用例如節流閥(未顯示)、或鐘擺閥(未顯示)的閉迴路控制流量限制裝置而加以完成。同樣地,還可使用往電容耦合式電漿處理腔室的真空幫浦與閥控制的流體連接件。
在設備1400的操作期間,可經由氣體流入口1460及/或1470來供應一或更多處理氣體。在某些實施例中,可僅經由主氣體流入口1460、或僅經由側氣體流入口1470來供應處理氣體。在一些實施例中,可例如以較複雜的氣體流入口、一或更多噴淋頭來取代圖中所顯示的氣體流入口。法拉第遮蔽件1449及/或任選的網格1450可包括內部通道與孔洞,以允許將處理氣體輸送至處理腔室。法拉第遮蔽件1449和任選的網格1450的其中一者或兩者可用作噴淋頭以輸送處理氣體。在一些實施例中,液體汽化及輸送系統可位於處理腔室的上游,一旦將液體反應物或前驅物汽化,即可將汽化的反應物或前驅物經由氣體流入口1460及/或1470導入處理腔室中。
射頻功率係從RF電源1441供應至線圈1433,使RF電流流經該線圈1433。流經線圈1433的RF電流在該線圈1433周圍產生電磁場。該電磁場在上部子腔室1402中產生感應電流。所產生的各種離子及自由基對於晶圓1419的物理和化學交互作用將該晶圓1419的特徵部進行蝕刻、以及在該晶圓1419上選擇性進行層沉積。
假若使用電漿網格1450而存在上部子腔室1402與下部子腔室1403,則感應電流係作用在上部子腔室1402所存在的氣體上,以在該上部子腔室1402中產生電子-離子電漿。任選的內部電漿網格1450限制了下部子腔室1403中的熱電子量。在一些實施例中,係將設備1400進行設計與操作,使得存在於下部子腔室1403中的電漿為離子-離子電漿。
雖然上部的電子-離子電漿與下部的離子-離子電漿兩者可包含正離子與負離子,但該離子-離子電漿將具有較大的負離子比正離子比率。揮發性的蝕刻及/或沉積副產物可經由通口1422而從下部子腔室1403移除。本文所揭露的卡盤1417可在介於約10°C與約250°C之間範圍的高溫下進行操作。該溫度將取決於處理操作與特定配方。
當安裝在無塵室、或加工設施中時,可將設備1400耦接至複數設施(未顯示)。設施包括提供處理氣體、真空、溫度控制、及環境微粒控制的管路。當安裝在目標加工設施中時,可將這些設施耦接至設備1400。此外,可將設備1400耦接至轉移腔室,允許機器人使用典型的自動化將半導體晶圓轉移進出設備1400。
在一些實施例中,系統控制器1430(其可包括一或更多實體、或邏輯控制器)控制處理腔室的一些或所有操作。系統控制器1430可包括一或更多記憶裝置、與一或更多處理器。在一些實施例中,設備1400包括切換系統,用於在執行所揭露的實施例時控制流量與持續時間。在一些實施例中,設備1400可具有高達約500 ms、或高達約750 ms的切換時間。切換時間可取決於所流動的化學品、配方選擇、反應器架構、及其他因素。
在一些實施例中,系統控制器1430為系統的一部分,其可為上述示例的一部份。這樣的系統可包括半導體處理配備,包括一或更多處理工具、一或更多腔室、一或更多的處理用平台、及/或特定處理構件(晶圓基座、氣體流量系統等)。這些系統可與在處理半導體晶圓或基板之前、期間、及之後將其操作進行控制之電子元件整合在一起。可將所述電子元件整合在系統控制器1430中,而可控制一或更多系統的各種構件或子部件。取決於處理條件及/或系統類型,可將系統控制器進行編程以控制本文揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、位置及操作設定、晶圓對於工具、其他傳輸工具、及/或與特定系統連接或接合之負載鎖室的傳入及傳出。
廣義而言,可將系統控制器1430界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與控制器通信的指令,以定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間完成一或更多處理步驟。
在一些實施例中,系統控制器1430可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,控制器可位於「雲端」、或FAB主電腦系統的全部或一部分,而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,接著將所述參數及/或設定從該遠端電腦傳送至系統。在一些示例中,系統控制器1430接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型、以及控制器所配置以連接或控制的工具類型。因此,如上所述,系統控制器1430可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的處理與控制)而運作。為了此目的所分佈的控制器示例將係位於腔室上的一或更多積體電路,所述積體電路係與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、以及可有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可通信至一或更多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載通口。
EUVL圖案化可使用任何合適的工具(經常稱之為掃描器)加以執行,例如由ASML of Veldhoven, NL所供應的TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為基板從其移動進出的獨立裝置,以用於進行本文所述的沉積與蝕刻。或者,如下所述,EUVL圖案化工具可為位於較大型多構件工具上的模組。圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積、EUV圖案化、及乾式顯影/蝕刻模組,而適合用於實行本文所述的處理。雖然所述處理可在不具這種真空整合設備的情況下進行,但在一些實施例中這種設備可為有利的。
圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積、及圖案化模組,而適合用於實行本文所述的處理。可將在複數儲存設施與處理模組之間「傳輸」晶圓的傳輸模組配置稱為「群集工具架構」系統。根據特定處理的需求,沉積和圖案化模組係真空整合的。還可將其他模組(例如,用於蝕刻)包括於該群集上。
真空傳輸模組(VTM)1538與四個處理模組1520a - 1520d相互連接,其中可獨立對所述處理模組進行優化以執行各種加工處理。舉例來說,處理模組1520a - 1520d可實施以執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝除、及/或其他半導體處理。例如,模組1520a可為ALD反應器,可將ALD反應器操作以在本文所述的非電漿、熱原子層沉積中執行,所述ALD反應器例如為可從Lam Research Corporation, Fremont, CA所取得的Vector工具。而模組1520b可為PECVD工具,例如Lam Vector®。應當理解,圖式並不需按照比例繪示。
氣室1542及1546(亦稱為負載鎖室、或傳輸模組)與VTM 1538和圖案化模組1540相互連接。舉例來說,如上所述,合適的圖案化模組可為由ASML of Veldhoven, NL所供應的TWINSCAN NXE: 3300B®平台。此工具架構允許工件(例如,半導體基板、或晶圓)在真空下進行傳輸,而在曝光之前不會進行反應。考慮到入射光子被環境氣體(例如,H2
O、O2
等)的強烈光學吸收,使得EUVL還需要大幅減壓的事實促進了沉積模組與微影工具的整合。
如上所述,此整合架構僅僅是用於實行所述處理之工具的其中一種可能的實施例。所述處理還可利用更習知的獨立EUVL掃描器、以及獨立、或與其他工具(例如,蝕刻、剝除等)(例如,Lam Kiyo或Gamma工具)整合在群集架構中沉積反應器(例如,Lam Vector工具)而作為模組加以實施,例如參照圖15所描述但不具有整合圖案化模組。
氣室1542可為「輸出」負載鎖室,指的是將基板從供應沉積模組1520a的VTM 1538傳輸至圖案化模組1540;而氣室1546可為「輸入」負載鎖室,指的是將基板從該圖案化模組1540傳輸回到VTM 1538中。輸入負載鎖室1546還可提供往工具外部的介面,以用於將基板放入或取出。各處理模組具有將模組與VTM 1538相互連接的維面(facet)。舉例來說,沉積處理模組1520a具有維面1536。在各維面內側,感測器(例如,所顯示的感測器1~18)係當晶圓1526在各自的站之間移動時用以偵測晶圓1526的通過。可利用附加的維面與感測器(未顯示)對圖案化模組1540、及氣室1542與1546進行配備。
主VTM機器人1522將晶圓1526在模組(包括氣室1542與1546)之間傳輸。在一實施例中,機器人1522具有一臂件,而在另一實施例中,機器人1522具有兩臂件,其中各臂件具有拾取晶圓(例如,晶圓1526)以進行輸送的端效器1524。前端機器人1544係用以將晶圓1526從輸出氣室1542傳輸至圖案化模組1540中、以及從該圖案化模組1540傳輸至輸入氣室1546中。前端機器人1544還可將晶圓1526在輸入負載鎖室與工具的外部之間輸送,以用於將基板放入或取出。由於輸入氣室模組1546具有將環境在大氣與真空之間進行匹配的能力,因此能夠將晶圓1526在兩種壓力環境之間移動而不受損。
應當注意,EUVL工具通常係在比沉積工具更高的真空下操作。若是如此,則需要在沉積與EUVL工具之間傳輸的期間提高基板的真空環境,以允許將該基板在進入圖案化工具之前進行除氣。輸出氣室1542可提供此功能,藉由將所傳輸的晶圓保持在較低壓力(不高於圖案化模組1540中的壓力)一段時間,並且排出任何的釋放氣體(off-gassing),使得圖案化模組1540的光學件不會被基板的釋放氣體所汙染。對於輸出、釋放氣體氣室的合適壓力係不大於1E-8 Torr。
在一些實施例中,系統控制器1550(其可包括一或更多實體、或邏輯控制器)控制著群集工具及/或其各自模組的一些、或所有操作。應當注意,控制器可位於該群集架構的本地、或是可位於製造樓層中的群集架構外部、或是在遠端位置透過網路而連接至該群集架構。系統控制器1550可包括一或更多記憶裝置、與一或更多處理器。所述處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板、與其他類似構件。在所述處理器上係執行用於實行合適控制操作的複數指令。這些指令可儲存在與該控制器相關的記憶裝置上、或是可將它們透過網路加以提供。在某些實施例中,系統控制器係執行系統控制軟體。
系統控制軟體可包括複數指令,用於控制應用時間、及/或任何態樣之工具或模組操作的強度。系統控制軟體可利用任何合適方式加以配置。舉例來說,可將各種處理工具構件的子程式、或控制物件進行編寫,以控制處理工具構件執行各種處理工具處理所需要的操作。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。在一些實施例中,系統控制軟體包括包括輸入/輸出控制(IOC)序列指令,以用於控制上述的各種參數。舉例來說,半導體加工處理的各階段可包括由系統控制器所執行的一或更多指令。舉例來說,可將用於設定縮合、沉積、蒸發、圖案化、及/或蝕刻階段之處理條件的指令包括在相應的配方階段中。
在各種實施例中,係提供用於形成負調性圖案遮罩的設備。該設備可包括用於圖案化、沉積、和蝕刻的處理腔室、以及包括複數指令的控制器,以用於形成負調性圖案遮罩。所述指令可包括編碼,用於在處理腔室中透過EUV曝光以暴露基板表面,而在半導體基板上的化學放大光阻(CAR)中圖案化一特徵部;將經光圖案化的光阻顯影;以及使用經圖案化光阻作為遮罩來蝕刻下伏層或層堆疊。顯影可使用含鹵化物化學品而執行。
應當注意,控制著晶圓移動的電腦可位於該群集架構的本地、或是可位於製造樓層中的群集架構外部、或是在遠端位置透過網路而連接至該群集架構。關於圖12、13、或14任何一者所描述於上的控制器可與圖15中的工具一起實行。結論
揭露了用於將金屬及/或金屬氧化物光阻進行乾式顯影,以例如在EUV圖案化的背景中形成圖案化遮罩的處理與設備。
應當理解,本文中所揭露的示例與實施例僅係為了說明目的,且依據它們的各種修改與變更將係建議給本領域中具有通常知識者。儘管各種細節係為了簡潔性而省略,但可實行各種設計替代物。因此,係將所呈現的示例視為說明性、而並非限制性的,且本揭露並不受限於本文中所給定的細節,而是可在本揭露的範圍內進行修改。
1~18:感測器
102,104,106,108,110,112:方塊
200:晶圓
202:基板
204:基板層
206:經光圖案化含金屬EUV光阻膜
206a:非EUV曝光區域
206b:經EUV曝光區域
208:光阻遮罩
1200:處理站
1201:反應物輸送系統
1202:處理腔室本體
1203:汽化點
1204:混合槽
1206:分佈噴淋頭
1208:基座
1210:加熱器
1212:基板
1214:射頻(RF)功率供應器
1216:匹配網路
1218:蝶形閥
1220:混合槽入口閥
1300:多站處理工具
1302:入站負載鎖室
1304:出站負載鎖室
1306:機器人
1308:傳送盒
1310:大氣通口
1312:基座
1314:處理腔室
1316:腔室傳輸通口
1318:基座
1350:系統控制器
1352:處理器
1354:儲存裝置
1356:記憶裝置
1358:系統控制軟體
1390:晶圓搬運系統
1400:感應耦合式電漿設備
1401:腔室壁
1402:上部子腔室
1403:下部子腔室
1411:窗部
1417:卡盤
1419:半導體晶圓
1421:匹配電路
1422:通口
1423:RF電源
1425:連接件
1427:連接件
1430:系統控制器
1433:線圈
1439:匹配電路
1440:渦輪分子幫浦
1441:RF電源
1443:連接件
1445:連接件
1449:法拉第遮蔽件
1450:內部電漿網格
1460:主氣體流入口
1470:側氣體流入口
1520a~1520d:處理模組
1522:主VTM機器人
1524:端效器
1526:晶圓
1536:維面
1538:真空傳輸模組(VTM)
1540:圖案化模組
1542:氣室
1544:前端機器人
1546:氣室
1550:系統控制器
圖1係根據一些實施例而呈現用於將光阻進行沉積與顯影之示例性方法的流程圖。
圖2A-2C係根據一些實施例而顯示乾式顯影的各種處理階段之橫剖面示意圖。
圖3係根據一些實施例所繪示的示例性乾式顯影機制,以用於溴化氫(HBr)對於EUV光阻之經曝光與未曝光部分的化學反應。
圖4A係根據一些實施例而顯示在不施加惰性氣體電漿的情況下進行乾式顯影的橫剖面示意圖。
圖4B係根據一些實施例而顯示在將惰性氣體電漿循環以去殘渣的情況下進行乾式顯影的橫剖面示意圖。
圖5顯示一圖表,該圖表係比較在乾式顯影期間使用氦電漿的EUV光阻的經曝光與未曝光部分之間的蝕刻速率。
圖6A與6B顯示將濕式顯影與乾式顯影關於線路崩塌加以比較的掃描式電子顯微鏡(SEM)影像。
圖7A與7B顯示將濕式顯影與乾式顯影關於控制粗糙度及臨界尺寸(CD)加以比較的SEM影像。
圖8顯示將濕式顯影與乾式顯影關於在硬遮罩開口後的殘渣加以比較的SEM影像。
圖9A與9B顯示複數圖表,該等圖表係繪示在不同壓力及溫度的情況下,第二曝光後烘烤操作對於乾式顯影的選擇性所造成的影響。
圖10顯示複數SEM影像,該等SEM影像繪示壓力對於EUV光阻輪廓的影響。
圖11A與11B顯示在不同線路/間隔的節距、與不同厚度下的EUV光阻之SEM影像。
圖12係根據一些實施例而繪示用於維持低壓環境的示例性處理站之示意圖,該低壓環境係適合用於執行顯影、清洗、重工、去殘渣與平滑化操作。
圖13繪示出示例性多站處理工具的示意圖,以用於實行本文所述的顯影、清洗、重工、去殘渣與平滑化操作。
圖14顯示出示例性感應耦合式電漿設備之橫剖面示意圖,以用於實行本文所述的某些實施例及操作。
圖15繪示半導體處理群集工具架構,該半導體處理群集工具架構具有與真空轉移模組連接的真空整合沉積及圖案化模組,而適合用於實行本文所述的處理。
Claims (35)
- 一種半導體基板的處理方法,包括: 在一處理腔室中,在一半導體基板的一基板層上提供一經光圖案化的含金屬光阻;以及 透過暴露至包括鹵化物的顯影化學品而選擇性地移除該經光圖案化的含金屬光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
- 如請求項1所述之半導體基板的處理方法,其中該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻。
- 如請求項2所述之半導體基板的處理方法,其中將該經光圖案化的含金屬EUV光阻加以顯影係包括利用該顯影化學品將該經光圖案化的含金屬EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除,以形成該光阻遮罩。
- 如請求項3所述之半導體基板的處理方法,更包括: 在不移除該基板層的情況下,將該經光圖案化的含金屬光阻之該未EUV曝光部分與該經EUV曝光部分進行非選擇性移除。
- 如請求項1所述之半導體基板的處理方法,其中該顯影化學品包括鹵化氫、氫氣與鹵素氣體、有機鹵化物、醯基鹵化物、羰基鹵化物、亞硫醯基鹵化物、或其混合。
- 如請求項5所述之半導體基板的處理方法,其中該顯影化學品包括氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)、或碘化氫(HI)。
- 如請求項5所述之半導體基板的處理方法,其中該顯影化學品包括氫氣(H2 )與氟氣(F2 )、氯氣(Cl2 )、溴氣(Br2 )、或碘氣(I2 )。
- 如請求項1所述之半導體基板的處理方法,其中該鹵化物係與一載體氣體一起流入該處理腔室中,該載體氣體包括氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、或氮(N2 )。
- 如請求項1所述之半導體基板的處理方法,其中透過暴露至該顯影化學品以將該經光圖案化的含金屬光阻加以顯影係包括透過暴露至乾式顯影化學品以將該經光圖案化的含金屬光阻進行乾式顯影。
- 如請求項9所述之半導體基板的處理方法,其中將該經光圖案化的含金屬光阻進行乾式顯影係包括將包括該鹵化物之自由基的一遠端電漿施加至該經光圖案化的含金屬光阻。
- 如請求項9所述之半導體基板的處理方法,其中將該經光圖案化的含金屬光阻進行乾式顯影係包括在無電漿的熱處理中至少暴露於該鹵化物。
- 如請求項9所述之半導體基板的處理方法,其中將該經光圖案化的含金屬光阻進行乾式顯影係在介於約-60°C與約120°C之間的溫度下、介於約0.1 mTorr與約760 Torr之間的腔室壓力下、介於約100 sccm與約2000 sccm之間的該鹵化物之氣體流量下進行,該光阻遮罩的蝕刻選擇性係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以調整。
- 如請求項12所述之半導體基板的處理方法,其中該溫度係介於約-20°C與約20°C之間。
- 如請求項12所述之半導體基板的處理方法,其中該光阻遮罩的輪廓係至少部分基於該溫度、該腔室壓力、該氣體流量、或其組合而能夠加以控制。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中該經光圖案化的含金屬光阻係含有機金屬氧化物薄膜、或是含有基金屬薄膜。
- 如請求項15所述之半導體基板的處理方法,其中該經光圖案化的含金屬光阻包括有機錫氧化物。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中該經光圖案化的含金屬光阻包括一元素,該元素係選自於由:錫、鉿、碲、鉍、銦、銻、碘、及鍺所構成的群組。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含金屬光阻係包括在該基板層上將一含金屬光阻膜進行氣相沉積。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含金屬光阻係包括在該基板層上將一含金屬光阻膜進行旋轉塗佈。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中該經光圖案化的含金屬光阻的厚度係介於約10 nm與約50 nm之間。
- 如請求項1至14中任一項所述之半導體基板的處理方法,更包括: 在將該經光圖案化的含金屬光阻加以顯影之後,將該經光圖案化的含金屬光阻暴露至一惰性氣體電漿。
- 如請求項21所述之半導體基板的處理方法,更包括: 重複進行下列操作:將該經光圖案化的含金屬光阻加以顯影、以及將該經光圖案化的含金屬光阻暴露至該惰性氣體電漿。
- 如請求項1至14中任一項所述之半導體基板的處理方法,更包括: 在將該經光圖案化的含金屬光阻加以顯影之前,在一高溫下將該經光圖案化的含金屬光阻進行烘烤。
- 如請求項1至14中任一項所述之半導體基板的處理方法,其中提供該經光圖案化的含金屬光阻包括: 在該半導體基板上沉積一含金屬EUV光阻膜; 在該半導體基板的背側與晶邊周緣上將部分的該含金屬EUV光阻膜進行非選擇性移除;以及 將該含金屬EUV光阻膜暴露至EUV光,以形成該經光圖案化的含金屬光阻。
- 如請求項1至14中任一項所述之半導體基板的處理方法,更包括: 在該半導體基板上沉積一含金屬EUV光阻膜;以及 在提供該經光圖案化的含金屬光阻之前,在不移除該基板層的情況下將該含金屬EUV光阻膜從該半導體基板進行非選擇性移除。
- 一種用於將光阻進行顯影的設備,該設備包括: 一處理腔室,具有一基板支撐件; 一真空管線,耦接至該處理腔室; 一顯影化學品管線,耦接至該處理腔室;以及 一控制器,配置具有用於處理一半導體基板的複數指令,該等指令包括編碼,用於: 在該處理腔室中,在該半導體基板的一基板層上提供一經光圖案化的含金屬光阻;以及 透過暴露至包括鹵化物的顯影化學品而選擇性地移除該經光圖案化的含金屬光阻的一部分,以將該經光圖案化的含金屬光阻加以顯影而形成一光阻遮罩。
- 如請求項26所述之用於將光阻進行顯影的設備,其中該經光圖案化的含金屬光阻係一經光圖案化的含金屬EUV光阻,且其中該控制器係包括編碼以用於利用該顯影化學品將該經光圖案化的含金屬EUV光阻之一未EUV曝光部分相對於一經EUV曝光部分進行選擇性地移除而形成該光阻遮罩,其中該控制器係配置具有該等指令且該等指令包括用於將該經光圖案化的含金屬EUV光阻加以顯影的編碼。
- 如請求項26所述之用於將光阻進行顯影的設備,更包括: 一或更多加熱器,耦接至該基板支撐件,其中該一或更多加熱器包括複數可獨立控制的溫度控制區域。
- 如請求項26所述之用於將光阻進行顯影的設備,其中該處理腔室的內部係塗覆著腐蝕抑制劑。
- 如請求項26所述之用於將光阻進行顯影的設備,更包括: 一冷凝阱,耦接至該處理腔室,其中該冷凝阱係配置以將水從該處理腔室移除。
- 如請求項26至30中任一項所述之用於將光阻進行顯影的設備,其中該處理腔室係包括塑膠材料。
- 如請求項26至30中任一項所述之用於將光阻進行顯影的設備,更包括: 一UV燈或IR燈,耦接至該處理腔室,其中該UV燈或IR燈係配置以將該經光圖案化的含金屬光阻固化、或是將過量鹵化物從該處理腔室移除。
- 一種半導體基板的處理方法,包括: 在一處理腔室中,在一半導體基板的一基板層上提供經乾式沉積的一經光圖案化的金屬氧化物EUV光阻;以及 透過暴露至包括HCl及/或HBr的乾式顯影化學品而選擇性地移除該經光圖案化的金屬氧化物EUV光阻的一未EUV曝光部分,以將該經光圖案化的金屬氧化物EUV光阻進行乾式顯影,而從一經EUV曝光部分形成一光阻硬遮罩。
- 如請求項33所述之半導體基板的處理方法,其中乾式顯影係在無電漿的熱處理中進行,其中暴露至該乾式顯影化學品係在介於約-20°C與約20°C之間的溫度下進行。
- 如請求項33所述之半導體基板的處理方法,其中該經光圖案化的金屬氧化物EUV光阻係包括有機錫氧化物。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962866942P | 2019-06-26 | 2019-06-26 | |
US62/866,942 | 2019-06-26 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202117468A true TW202117468A (zh) | 2021-05-01 |
TWI837391B TWI837391B (zh) | 2024-04-01 |
Family
ID=74061069
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109121680A TWI837391B (zh) | 2019-06-26 | 2020-06-24 | 利用鹵化物化學品的光阻顯影 |
TW113107490A TW202424665A (zh) | 2019-06-26 | 2020-06-24 | 利用鹵化物化學品的光阻顯影 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW113107490A TW202424665A (zh) | 2019-06-26 | 2020-06-24 | 利用鹵化物化學品的光阻顯影 |
Country Status (7)
Country | Link |
---|---|
US (1) | US12105422B2 (zh) |
EP (1) | EP3990987A4 (zh) |
JP (3) | JP2022538040A (zh) |
KR (2) | KR20240131468A (zh) |
CN (1) | CN114026501A (zh) |
TW (2) | TWI837391B (zh) |
WO (1) | WO2020264158A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI811719B (zh) * | 2020-07-01 | 2023-08-11 | 美商應用材料股份有限公司 | 用於金屬側氧基光阻之氣相熱蝕刻液 |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
WO2021158433A1 (en) * | 2020-02-04 | 2021-08-12 | Lam Research Corporation | Post application/exposure treatments to improve dry development performance of metal-containing euv resist |
US20220004105A1 (en) * | 2020-07-01 | 2022-01-06 | Applied Materials, Inc. | Dry develop process of photoresist |
US11562904B2 (en) | 2020-07-21 | 2023-01-24 | Applied Materials, Inc. | Deposition of semiconductor integration films |
US11886120B2 (en) | 2020-07-21 | 2024-01-30 | Applied Materials, Inc. | Deposition of semiconductor integration films |
JPWO2022196259A1 (zh) * | 2021-03-15 | 2022-09-22 | ||
US12032291B2 (en) | 2021-06-15 | 2024-07-09 | Inpria Corporation | Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods |
TW202314405A (zh) * | 2021-06-15 | 2023-04-01 | 美商蘭姆研究公司 | 用於晶圓中的乾式顯影副產物揮發的乾式顯影設備及方法 |
CN114628620B (zh) * | 2022-03-15 | 2024-06-14 | 安徽熙泰智能科技有限公司 | 一种用于药液耐受性差的膜层的图形化方法 |
WO2023215136A1 (en) * | 2022-05-04 | 2023-11-09 | Lam Research Corporation | Post-development treatment of metal-containing photoresist |
TW202407473A (zh) * | 2022-06-06 | 2024-02-16 | 美商英培雅股份有限公司 | 在氧化鹵素供給環境中有機金屬阻劑之基於氣體的顯影 |
WO2024006938A1 (en) * | 2022-07-01 | 2024-01-04 | Lam Research Corporation | Cyclic development of metal oxide based photoresist for etch stop deterrence |
WO2024024922A1 (ja) * | 2022-07-29 | 2024-02-01 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2024024373A1 (ja) * | 2022-07-29 | 2024-02-01 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
US20240045337A1 (en) * | 2022-08-03 | 2024-02-08 | Tokyo Electron Limited | Metal Oxide Resists for EUV Patterning and Methods for Developing the Same |
US20240053684A1 (en) * | 2022-08-15 | 2024-02-15 | Tokyo Electron Limited | Cyclic Method for Reactive Development of Photoresists |
WO2024058135A1 (ja) * | 2022-09-13 | 2024-03-21 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
US20240096622A1 (en) * | 2022-09-21 | 2024-03-21 | Tokyo Electron Limited | Method and Apparatus for In-Situ Dry Development |
WO2024070756A1 (ja) * | 2022-09-27 | 2024-04-04 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
US20240160100A1 (en) * | 2022-11-14 | 2024-05-16 | Applied Materials, Inc. | Integrated solution with low temperature dry develop for euv photoresist |
WO2024111454A1 (ja) * | 2022-11-25 | 2024-05-30 | 東京エレクトロン株式会社 | ドライ現像方法及びドライ現像装置 |
WO2024143125A1 (ja) * | 2022-12-28 | 2024-07-04 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2024157943A1 (ja) * | 2023-01-27 | 2024-08-02 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
WO2024196643A1 (en) * | 2023-03-17 | 2024-09-26 | Lam Research Corporation | Integration of dry development and etch processes for euv patterning in a single process chamber |
Family Cites Families (467)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3576755A (en) | 1964-09-24 | 1971-04-27 | American Cyanamid Co | Photochromism in plastic film containing inorganic materials |
US3442648A (en) | 1965-06-16 | 1969-05-06 | American Cyanamid Co | Photographic dodging method |
US3513010A (en) | 1966-07-11 | 1970-05-19 | Kalvar Corp | Conversion foil |
US3529963A (en) | 1966-08-23 | 1970-09-22 | Du Pont | Image-yielding elements and processes |
US3720515A (en) | 1971-10-20 | 1973-03-13 | Trw Inc | Microelectronic circuit production |
JPS5119974A (en) | 1974-08-12 | 1976-02-17 | Fujitsu Ltd | Kibanjoheno pataanno sentakukeiseiho |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4061829A (en) * | 1976-04-26 | 1977-12-06 | Bell Telephone Laboratories, Incorporated | Negative resist for X-ray and electron beam lithography and method of using same |
US4292384A (en) * | 1977-09-30 | 1981-09-29 | Horizons Research Incorporated | Gaseous plasma developing and etching process employing low voltage DC generation |
US4241165A (en) | 1978-09-05 | 1980-12-23 | Motorola, Inc. | Plasma development process for photoresist |
US4328298A (en) | 1979-06-27 | 1982-05-04 | The Perkin-Elmer Corporation | Process for manufacturing lithography masks |
US4396704A (en) | 1981-04-22 | 1983-08-02 | Bell Telephone Laboratories, Incorporated | Solid state devices produced by organometallic plasma developed resists |
JPS58108744A (ja) | 1981-12-23 | 1983-06-28 | Mitsubishi Electric Corp | 集積回路の製造方法 |
JPS6074626A (ja) | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | ウエハー処理方法及び装置 |
JPS60115222A (ja) | 1983-11-28 | 1985-06-21 | Tokyo Ohka Kogyo Co Ltd | 微細パタ−ン形成方法 |
JPS6112653U (ja) | 1984-06-25 | 1986-01-24 | 日本電気株式会社 | バキユ−ムチヤツク |
JPS61234035A (ja) | 1985-03-29 | 1986-10-18 | Fujitsu Ltd | 遠紫外線照射ドライ現像方法 |
JPS62160981A (ja) | 1986-01-08 | 1987-07-16 | Mitsubishi Heavy Ind Ltd | 石油タンカ−の改造法 |
GB2195663B (en) | 1986-08-15 | 1990-08-22 | Nippon Telegraph & Telephone | Chemical vapour deposition method and apparatus therefor |
JPS6347364A (ja) | 1986-08-15 | 1988-02-29 | Nippon Telegr & Teleph Corp <Ntt> | 化学的気相成長法およびその装置 |
JPH0778629B2 (ja) * | 1986-12-19 | 1995-08-23 | ミノルタ株式会社 | ポジ型レジスト膜及びそのレジストパターンの形成方法 |
US5079600A (en) | 1987-03-06 | 1992-01-07 | Schnur Joel M | High resolution patterning on solid substrates |
US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US4824763A (en) | 1987-07-30 | 1989-04-25 | Ekc Technology, Inc. | Triamine positive photoresist stripping composition and prebaking process |
US4814243A (en) | 1987-09-08 | 1989-03-21 | American Telephone And Telegraph Company | Thermal processing of photoresist materials |
US4834834A (en) | 1987-11-20 | 1989-05-30 | Massachusetts Institute Of Technology | Laser photochemical etching using surface halogenation |
US4845053A (en) | 1988-01-25 | 1989-07-04 | John Zajac | Flame ashing process for stripping photoresist |
KR920004176B1 (ko) | 1988-03-16 | 1992-05-30 | 후지쓰 가부시끼가이샤 | 레지스트 패턴 형성 공정 |
US4940854A (en) | 1988-07-13 | 1990-07-10 | Minnesota Mining And Manufacturing Company | Organic thin film controlled molecular epitaxy |
US5094936A (en) | 1988-09-16 | 1992-03-10 | Texas Instruments Incorporated | High pressure photoresist silylation process and apparatus |
DE69130594T2 (de) | 1990-06-29 | 1999-05-06 | Fujitsu Ltd., Kawasaki, Kanagawa | Verfahren zur Erzeugung eines Musters |
JPH04226462A (ja) | 1990-06-29 | 1992-08-17 | Fujitsu Ltd | レジスト材料およびそれを用いるレジストパターンの形成方法 |
KR960000375B1 (ko) | 1991-01-22 | 1996-01-05 | 가부시끼가이샤 도시바 | 반도체장치의 제조방법 |
US5322765A (en) | 1991-11-22 | 1994-06-21 | International Business Machines Corporation | Dry developable photoresist compositions and method for use thereof |
US6013418A (en) * | 1992-04-29 | 2000-01-11 | Lucent Technologies Inc. | Method for developing images in energy sensitive materials |
GEP20002074B (en) | 1992-05-19 | 2000-05-10 | Westaim Tech Inc Ca | Modified Material and Method for its Production |
JPH0637050A (ja) | 1992-07-14 | 1994-02-10 | Oki Electric Ind Co Ltd | 半導体ウエハのドライエッチング装置 |
JP2601112B2 (ja) | 1992-11-30 | 1997-04-16 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH06232041A (ja) * | 1993-02-05 | 1994-08-19 | Hitachi Ltd | パターン形成方法 |
KR960010727B1 (ko) | 1993-06-03 | 1996-08-07 | 현대전자산업 주식회사 | 반도체 제조용 포토레지스트 제거방법 |
EP0635884A1 (de) | 1993-07-13 | 1995-01-25 | Siemens Aktiengesellschaft | Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie |
TW276353B (zh) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JPH07106224A (ja) * | 1993-10-01 | 1995-04-21 | Hitachi Ltd | パターン形成方法 |
JPH07161607A (ja) | 1993-12-03 | 1995-06-23 | Hitachi Ltd | パターン形成方法及びパターン形成装置 |
JP3309095B2 (ja) * | 1994-08-30 | 2002-07-29 | 株式会社日立製作所 | ドライ現像方法及び半導体装置の製造方法 |
US5534312A (en) | 1994-11-14 | 1996-07-09 | Simon Fraser University | Method for directly depositing metal containing patterned films |
JP3258199B2 (ja) | 1995-05-24 | 2002-02-18 | 沖電気工業株式会社 | 半導体装置のパターン形成方法 |
JPH08339950A (ja) | 1995-06-09 | 1996-12-24 | Sony Corp | フォトレジストパターン形成方法及びフォトレジスト処理装置 |
US6007963A (en) | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
US20020031920A1 (en) | 1996-01-16 | 2002-03-14 | Lyding Joseph W. | Deuterium treatment of semiconductor devices |
US5925494A (en) | 1996-02-16 | 1999-07-20 | Massachusetts Institute Of Technology | Vapor deposition of polymer films for photolithography |
US5761023A (en) | 1996-04-25 | 1998-06-02 | Applied Materials, Inc. | Substrate support with pressure zones having reduced contact area and temperature feedback |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
JPH1041206A (ja) | 1996-07-19 | 1998-02-13 | Toshiba Corp | 半導体処理装置および処理方法 |
US5914278A (en) | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
JPH10209133A (ja) | 1997-01-28 | 1998-08-07 | Toshiba Corp | プラズマ灰化装置およびプラズマ灰化方法 |
US6261938B1 (en) | 1997-02-12 | 2001-07-17 | Quantiscript, Inc. | Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
WO1999004911A1 (en) | 1997-07-28 | 1999-02-04 | Massachusetts Institute Of Technology | Pyrolytic chemical vapor deposition of silicone films |
US6057587A (en) | 1997-08-28 | 2000-05-02 | Vlsi Technology, Inc. | Semiconductor device with anti-reflective structure |
KR100265766B1 (ko) | 1997-09-04 | 2000-09-15 | 윤종용 | 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법 |
US6290779B1 (en) | 1998-06-12 | 2001-09-18 | Tokyo Electron Limited | Systems and methods for dry cleaning process chambers |
US6348239B1 (en) | 2000-04-28 | 2002-02-19 | Simon Fraser University | Method for depositing metal and metal oxide films and patterned films |
WO2000003058A1 (en) | 1998-07-10 | 2000-01-20 | Ball Semiconductor, Inc. | Cvd photo resist and deposition |
JP2002525841A (ja) | 1998-09-16 | 2002-08-13 | トーレックス・イクイップメント・コーポレーション | 低圧における高速シリコン堆積法 |
JP2000305273A (ja) | 1998-11-19 | 2000-11-02 | Applied Materials Inc | 遠紫外線ドライフォトリソグラフィー |
EP1033744A3 (en) | 1999-02-26 | 2009-07-15 | Applied Materials, Inc. | Improved dry photolithography process for deep ultraviolet exposure |
KR100520670B1 (ko) | 1999-05-06 | 2005-10-10 | 주식회사 하이닉스반도체 | 포토레지스트 패턴의 형성방법 |
JP4519280B2 (ja) | 1999-06-11 | 2010-08-04 | 東京エレクトロン株式会社 | 処理室をドライクリーニングするための装置及び方法 |
JP2000356857A (ja) | 1999-06-15 | 2000-12-26 | Toshiba Electronic Engineering Corp | パターン形成装置 |
US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
US6432255B1 (en) | 2000-01-31 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus for enhancing chamber cleaning |
US20010024769A1 (en) | 2000-02-08 | 2001-09-27 | Kevin Donoghue | Method for removing photoresist and residues from semiconductor device surfaces |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20060001064A1 (en) | 2000-04-28 | 2006-01-05 | Hill Ross H | Methods for the lithographic deposition of ferroelectric materials |
US20040191423A1 (en) | 2000-04-28 | 2004-09-30 | Ruan Hai Xiong | Methods for the deposition of silver and silver oxide films and patterned films |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
US20020015855A1 (en) | 2000-06-16 | 2002-02-07 | Talex Sajoto | System and method for depositing high dielectric constant materials and compatible conductive materials |
KR100620651B1 (ko) | 2000-06-22 | 2006-09-13 | 주식회사 하이닉스반도체 | 반도체 소자의 미세패턴 제조방법 |
JP2002015971A (ja) | 2000-06-27 | 2002-01-18 | Matsushita Electric Ind Co Ltd | パターン形成方法及び半導体装置の製造装置 |
KR100398312B1 (ko) | 2000-06-30 | 2003-09-19 | 한국과학기술원 | 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법 |
JP2002134402A (ja) | 2000-08-15 | 2002-05-10 | Tokyo Electron Ltd | 基板処理方法及び基板処理装置 |
US6645677B1 (en) * | 2000-09-18 | 2003-11-11 | Micronic Laser Systems Ab | Dual layer reticle blank and manufacturing process |
JP2002100558A (ja) | 2000-09-26 | 2002-04-05 | Nikon Corp | 厚膜レジスト塗布方法 |
JP2002118096A (ja) | 2000-10-06 | 2002-04-19 | Sony Corp | アッシング装置 |
US6368924B1 (en) | 2000-10-31 | 2002-04-09 | Motorola, Inc. | Amorphous carbon layer for improved adhesion of photoresist and method of fabrication |
JP2005123651A (ja) | 2000-12-26 | 2005-05-12 | Toshiba Corp | レジスト膜の処理装置、およびレジストパターン形成方法 |
US20040067444A1 (en) | 2000-12-28 | 2004-04-08 | Makoto Wakabayashi | Method for patterning electroconductive tin oxide film |
US6596641B2 (en) | 2001-03-01 | 2003-07-22 | Micron Technology, Inc. | Chemical vapor deposition methods |
US6797439B1 (en) | 2001-03-30 | 2004-09-28 | Schott Lithotec Ag | Photomask with back-side anti-reflective layer and method of manufacture |
US6686132B2 (en) | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
US6933673B2 (en) | 2001-04-27 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device and process of manufacturing the same |
US20020185067A1 (en) | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
TW588403B (en) | 2001-06-25 | 2004-05-21 | Tokyo Electron Ltd | Substrate treating device and substrate treating method |
US6926957B2 (en) | 2001-06-29 | 2005-08-09 | 3M Innovative Properties Company | Water-based ink-receptive coating |
US6448097B1 (en) | 2001-07-23 | 2002-09-10 | Advanced Micro Devices Inc. | Measure fluorescence from chemical released during trim etch |
DE10138105A1 (de) | 2001-08-03 | 2003-02-27 | Infineon Technologies Ag | Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks |
JP2003213001A (ja) | 2001-11-13 | 2003-07-30 | Sekisui Chem Co Ltd | 光反応性組成物 |
US7067235B2 (en) | 2002-01-15 | 2006-06-27 | Ming Huan Tsai | Bi-layer photoresist dry development and reactive ion etch method |
JP2003280155A (ja) | 2002-03-22 | 2003-10-02 | Fuji Photo Film Co Ltd | 自動現像装置 |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
EP2189842B1 (en) | 2002-04-11 | 2017-08-23 | Hoya Corporation | Reflective mask blank, reflective mask and methods of producing the mask blank and the mask |
JP3806702B2 (ja) | 2002-04-11 | 2006-08-09 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法 |
US7169440B2 (en) | 2002-04-16 | 2007-01-30 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
DE10219173A1 (de) | 2002-04-30 | 2003-11-20 | Philips Intellectual Property | Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
WO2004007797A1 (ja) | 2002-07-10 | 2004-01-22 | Tokyo Electron Limited | 成膜装置 |
US20050142885A1 (en) | 2002-08-30 | 2005-06-30 | Tokyo Electron Limited | Method of etching and etching apparatus |
JP2006504136A (ja) | 2002-10-21 | 2006-02-02 | ナノインク インコーポレーティッド | ナノメートル・スケール設計構造、その製造方法および装置、マスク修復、強化、および製造への適用 |
US6624127B1 (en) | 2002-11-15 | 2003-09-23 | Intel Corporation | Highly polar cleans for removal of residues from semiconductor structures |
TW200410337A (en) | 2002-12-02 | 2004-06-16 | Au Optronics Corp | Dry cleaning method for plasma reaction chamber |
JP4153783B2 (ja) | 2002-12-09 | 2008-09-24 | 株式会社東芝 | X線平面検出器 |
JP4325301B2 (ja) | 2003-01-31 | 2009-09-02 | 東京エレクトロン株式会社 | 載置台、処理装置及び処理方法 |
JP2004247678A (ja) | 2003-02-17 | 2004-09-02 | Fujitsu Ltd | 半導体装置の製造方法、及び半導体製造装置のクリーニング方法 |
JP2004259786A (ja) | 2003-02-24 | 2004-09-16 | Canon Inc | 露光装置 |
US7029832B2 (en) | 2003-03-11 | 2006-04-18 | Samsung Electronics Co., Ltd. | Immersion lithography methods using carbon dioxide |
EP1609175A1 (en) | 2003-03-31 | 2005-12-28 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
US20040203256A1 (en) | 2003-04-08 | 2004-10-14 | Seagate Technology Llc | Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication |
JP4640177B2 (ja) | 2003-09-24 | 2011-03-02 | 日立化成工業株式会社 | 感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法 |
GB0323805D0 (en) | 2003-10-10 | 2003-11-12 | Univ Southampton | Synthesis of germanium sulphide and related compounds |
JP4313749B2 (ja) | 2003-10-10 | 2009-08-12 | エーエスエムエル ネザーランズ ビー.ブイ. | 基板を支持部材上に配置する方法、及び基板ハンドラ |
US7126128B2 (en) | 2004-02-13 | 2006-10-24 | Kabushiki Kaisha Toshiba | Flat panel x-ray detector |
JP4459666B2 (ja) | 2004-03-12 | 2010-04-28 | 株式会社半導体エネルギー研究所 | 除去装置 |
WO2006026765A2 (en) | 2004-09-01 | 2006-03-09 | Axcelis Technologies, Inc. | Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means |
US20060068173A1 (en) | 2004-09-30 | 2006-03-30 | Ebara Corporation | Methods for forming and patterning of metallic films |
JP2006253282A (ja) | 2005-03-09 | 2006-09-21 | Ebara Corp | 金属膜のパターン形成方法 |
US7112489B1 (en) | 2004-12-03 | 2006-09-26 | Advanced Micro Devices, Inc. | Negative resist or dry develop process for forming middle of line implant layer |
US20060128127A1 (en) | 2004-12-13 | 2006-06-15 | Jung-Hun Seo | Method of depositing a metal compound layer and apparatus for depositing a metal compound layer |
JP4565194B2 (ja) | 2004-12-17 | 2010-10-20 | 国立大学法人大阪大学 | 極端紫外光・x線源用ターゲット及びその製造方法 |
KR100601979B1 (ko) | 2004-12-30 | 2006-07-18 | 삼성전자주식회사 | 반도체 웨이퍼의 베이킹 장치 |
KR100607201B1 (ko) | 2005-01-04 | 2006-08-01 | 삼성전자주식회사 | 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법 |
US7381633B2 (en) | 2005-01-27 | 2008-06-03 | Hewlett-Packard Development Company, L.P. | Method of making a patterned metal oxide film |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
US7868304B2 (en) | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7608367B1 (en) | 2005-04-22 | 2009-10-27 | Sandia Corporation | Vitreous carbon mask substrate for X-ray lithography |
KR100575847B1 (ko) | 2005-04-29 | 2006-05-03 | 이앙구 | 반도체 및 평판디스플레이 설비의 부산물 포집방법 |
JP2006310681A (ja) | 2005-05-02 | 2006-11-09 | Dainippon Screen Mfg Co Ltd | 基板処理方法および装置 |
TWI338171B (en) | 2005-05-02 | 2011-03-01 | Au Optronics Corp | Display device and wiring structure and method for forming the same |
KR100705416B1 (ko) | 2005-06-15 | 2007-04-10 | 삼성전자주식회사 | 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법 |
US7691559B2 (en) | 2005-06-30 | 2010-04-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography edge bead removal |
JP4530933B2 (ja) | 2005-07-21 | 2010-08-25 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
US7482280B2 (en) | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
JP4530980B2 (ja) | 2005-08-26 | 2010-08-25 | 東京応化工業株式会社 | 膜形成用材料およびパターン形成方法 |
US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
JP2007114255A (ja) | 2005-10-18 | 2007-05-10 | Toray Ind Inc | 感光性樹脂印刷版原版およびその製造方法 |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
JP5055743B2 (ja) | 2005-11-04 | 2012-10-24 | セントラル硝子株式会社 | 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。 |
US7968437B2 (en) | 2005-11-18 | 2011-06-28 | Hitachi Kokusai Electric Inc. | Semiconductor device manufacturing method and substrate processing apparatus |
US20070117040A1 (en) | 2005-11-21 | 2007-05-24 | International Business Machines Corporation | Water castable-water strippable top coats for 193 nm immersion lithography |
KR100891779B1 (ko) | 2005-11-28 | 2009-04-07 | 허니웰 인터내셔날 인코포레이티드 | 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법 |
JP2007207530A (ja) | 2006-01-31 | 2007-08-16 | Toshiba Corp | 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置 |
US7662718B2 (en) | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
US7682659B1 (en) | 2006-04-10 | 2010-03-23 | The Regents Of The University Of California | Fabrication of suspended carbon micro and nanoscale structures |
CH698809B1 (de) | 2006-04-20 | 2009-10-30 | Capital Formation Inc | Abdeckung für raue Umgebungen und Sensoren, die diese Abdeckung aufweisen. |
KR100721206B1 (ko) | 2006-05-04 | 2007-05-23 | 주식회사 하이닉스반도체 | 반도체소자의 스토리지노드 컨택 형성방법 |
US20070287073A1 (en) | 2006-06-07 | 2007-12-13 | Francis Goodwin | Lithography systems and methods |
JP2008010353A (ja) | 2006-06-30 | 2008-01-17 | Seiko Epson Corp | マスクの製造方法、配線パターンの製造方法、及びプラズマディスプレイの製造方法 |
EP2047332A4 (en) | 2006-07-10 | 2009-11-18 | Pixelligent Technologies Llc | RESISTS FOR PHOTOLITHOGRAPHY |
US7534627B2 (en) | 2006-08-07 | 2009-05-19 | Sokudo Co., Ltd. | Methods and systems for controlling critical dimensions in track lithography tools |
US7718542B2 (en) | 2006-08-25 | 2010-05-18 | Lam Research Corporation | Low-k damage avoidance during bevel etch processing |
US7771895B2 (en) | 2006-09-15 | 2010-08-10 | Applied Materials, Inc. | Method of etching extreme ultraviolet light (EUV) photomasks |
JP2008091215A (ja) | 2006-10-02 | 2008-04-17 | Nitto Kasei Co Ltd | 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜 |
FR2908137A1 (fr) | 2006-11-02 | 2008-05-09 | Lapeyre Sa | Procede de depot de couche mince et produit obtenu |
JP4428717B2 (ja) | 2006-11-14 | 2010-03-10 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
JP5132920B2 (ja) | 2006-11-22 | 2013-01-30 | 東京エレクトロン株式会社 | 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム |
JPWO2008088076A1 (ja) | 2007-01-17 | 2010-05-13 | ソニー株式会社 | 現像液、および微細加工体の製造方法 |
TWI381468B (zh) | 2007-03-30 | 2013-01-01 | Tokyo Electron Ltd | 線上微影及蝕刻系統 |
KR101392291B1 (ko) | 2007-04-13 | 2014-05-07 | 주식회사 동진쎄미켐 | 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법 |
US8105660B2 (en) | 2007-06-28 | 2012-01-31 | Andrew W Tudhope | Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component |
WO2009049048A2 (en) | 2007-10-12 | 2009-04-16 | Ultradots, Inc. | Solar modules with enhanced efficiencies via use of spectral concentrators |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
KR100921932B1 (ko) | 2007-10-25 | 2009-10-15 | 포항공과대학교 산학협력단 | 다원자분자를 이용한 패터닝방법 |
SG153748A1 (en) | 2007-12-17 | 2009-07-29 | Asml Holding Nv | Lithographic method and apparatus |
EP2247767A1 (en) | 2007-12-20 | 2010-11-10 | NV Bekaert SA | A substrate coated with amorphous hydrogenated carbon |
US8236476B2 (en) | 2008-01-08 | 2012-08-07 | International Business Machines Corporation | Multiple exposure photolithography methods and photoresist compositions |
US20090197086A1 (en) | 2008-02-04 | 2009-08-06 | Sudha Rathi | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography |
WO2009099660A2 (en) | 2008-02-08 | 2009-08-13 | Lam Research Corporation | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
JP4978501B2 (ja) | 2008-02-14 | 2012-07-18 | 日本電気株式会社 | 熱型赤外線検出器及びその製造方法 |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5017147B2 (ja) | 2008-03-06 | 2012-09-05 | 東京エレクトロン株式会社 | 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム |
US7985513B2 (en) | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
US7967995B2 (en) | 2008-03-31 | 2011-06-28 | Tokyo Electron Limited | Multi-layer/multi-input/multi-output (MLMIMO) models and method for using |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US20090286397A1 (en) | 2008-05-15 | 2009-11-19 | Lam Research Corporation | Selective inductive double patterning |
JP2009294439A (ja) | 2008-06-05 | 2009-12-17 | Toshiba Corp | レジストパターン形成方法 |
JP5171422B2 (ja) | 2008-06-19 | 2013-03-27 | ルネサスエレクトロニクス株式会社 | 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法 |
US20090321707A1 (en) | 2008-06-25 | 2009-12-31 | Matthew Metz | Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films |
US20090325387A1 (en) | 2008-06-26 | 2009-12-31 | Applied Materials, Inc. | Methods and apparatus for in-situ chamber dry clean during photomask plasma etching |
JP5391594B2 (ja) | 2008-07-02 | 2014-01-15 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4966922B2 (ja) | 2008-07-07 | 2012-07-04 | 東京エレクトロン株式会社 | レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法 |
KR20110050427A (ko) | 2008-07-14 | 2011-05-13 | 아사히 가라스 가부시키가이샤 | Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크 |
KR20110046439A (ko) | 2008-07-24 | 2011-05-04 | 코비오 인코포레이티드 | 알루미늄 잉크 및 이의 제조 방법, 알루미늄 잉크 증착 방법 및 알루미늄 잉크의 인쇄 및/또는 증착에 의해 형성된 필름 |
KR101482944B1 (ko) | 2008-08-04 | 2015-01-16 | 한국과학기술원 | 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터 |
US8435723B2 (en) | 2008-09-11 | 2013-05-07 | Nikon Corporation | Pattern forming method and device production method |
CN103123443B (zh) | 2008-10-14 | 2014-11-26 | 旭化成电子材料株式会社 | 热反应型抗蚀剂材料、使用它的热光刻用层压体以及使用它们的模具的制造方法 |
US8105954B2 (en) | 2008-10-20 | 2012-01-31 | aiwan Semiconductor Manufacturing Company, Ltd. | System and method of vapor deposition |
JP5225815B2 (ja) | 2008-11-19 | 2013-07-03 | 東京エレクトロン株式会社 | インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体 |
US7977235B2 (en) | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
JP4880004B2 (ja) | 2009-02-06 | 2012-02-22 | 東京エレクトロン株式会社 | 基板処理システム |
JP2010239087A (ja) | 2009-03-31 | 2010-10-21 | Tokyo Electron Ltd | 基板支持装置及び基板支持方法 |
JP5193121B2 (ja) | 2009-04-17 | 2013-05-08 | 東京エレクトロン株式会社 | レジスト塗布現像方法 |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
US20100310790A1 (en) | 2009-06-09 | 2010-12-09 | Nanya Technology Corporation | Method of forming carbon-containing layer |
WO2011040385A1 (ja) | 2009-09-29 | 2011-04-07 | 東京エレクトロン株式会社 | Ni膜の成膜方法 |
CN102725843B (zh) | 2009-11-17 | 2017-03-01 | 欧瑞康先进科技股份公司 | 用于处理基材的装置与方法 |
TWI494682B (zh) | 2009-11-18 | 2015-08-01 | Hoya Corp | 基板之再生方法、光罩基底之製造方法、附多層反射膜基板之製造方法及反射型光罩基底之製造方法 |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
WO2011081151A1 (ja) | 2009-12-28 | 2011-07-07 | 旭硝子株式会社 | 感光性組成物、隔壁、カラーフィルタおよび有機el素子 |
US8410394B2 (en) | 2010-01-08 | 2013-04-02 | Uvtech Systems, Inc. | Method and apparatus for processing substrate edges |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
JP5544914B2 (ja) | 2010-02-15 | 2014-07-09 | 大日本印刷株式会社 | 反射型マスクの製造方法 |
JP5003773B2 (ja) | 2010-02-15 | 2012-08-15 | 東京エレクトロン株式会社 | 現像装置、現像方法及び記憶媒体 |
US8178439B2 (en) | 2010-03-30 | 2012-05-15 | Tokyo Electron Limited | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
JP2013526061A (ja) | 2010-04-30 | 2013-06-20 | アプライド マテリアルズ インコーポレイテッド | スタック欠陥率を改善するアモルファスカーボン堆積法 |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
JP5392190B2 (ja) | 2010-06-01 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8470711B2 (en) | 2010-11-23 | 2013-06-25 | International Business Machines Corporation | Tone inversion with partial underlayer etch for semiconductor device formation |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
JP5572560B2 (ja) | 2011-01-05 | 2014-08-13 | 東京エレクトロン株式会社 | 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法 |
US8836082B2 (en) | 2011-01-31 | 2014-09-16 | Brewer Science Inc. | Reversal lithography approach by selective deposition of nanoparticles |
US8778816B2 (en) | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
JP5708522B2 (ja) | 2011-02-15 | 2015-04-30 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
JP5842338B2 (ja) | 2011-02-17 | 2016-01-13 | セイコーエプソン株式会社 | 波長可変干渉フィルター、光モジュール、および電子機器 |
WO2012118847A2 (en) | 2011-02-28 | 2012-09-07 | Inpria Corportion | Solution processible hardmarks for high resolusion lithography |
TWI534291B (zh) | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
US8501499B2 (en) | 2011-03-28 | 2013-08-06 | Tokyo Electron Limited | Adaptive recipe selector |
US8532796B2 (en) | 2011-03-31 | 2013-09-10 | Tokyo Electron Limited | Contact processing using multi-input/multi-output (MIMO) models |
FR2975823B1 (fr) | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc |
KR101295791B1 (ko) | 2011-05-31 | 2013-08-09 | 세메스 주식회사 | 기판 처리 설비 및 기판 처리 방법 |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
WO2013007442A1 (en) | 2011-07-08 | 2013-01-17 | Asml Netherlands B.V. | Lithographic patterning process and resists to use therein |
US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
CN102610516B (zh) | 2011-07-22 | 2015-01-21 | 上海华力微电子有限公司 | 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法 |
EP2587518B1 (en) | 2011-10-31 | 2018-12-19 | IHI Hauzer Techno Coating B.V. | Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
KR102061919B1 (ko) | 2011-11-21 | 2020-01-02 | 브레우어 사이언스 인코포레이션 | Euv 리소그래피용 보조층 |
US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US20130177847A1 (en) | 2011-12-12 | 2013-07-11 | Applied Materials, Inc. | Photoresist for improved lithographic control |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
EP2608247A1 (en) | 2011-12-21 | 2013-06-26 | Imec | EUV photoresist encapsulation |
JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
JP5383787B2 (ja) | 2011-12-27 | 2014-01-08 | 株式会社日立国際電気 | クリーニング方法、半導体装置の製造方法及び基板処理装置 |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
JP5919896B2 (ja) | 2011-12-28 | 2016-05-18 | 住友ベークライト株式会社 | 硬化膜の処理方法および半導体装置の製造方法 |
KR101920711B1 (ko) | 2012-01-16 | 2018-11-22 | 삼성전자주식회사 | 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법 |
SG193093A1 (en) | 2012-02-13 | 2013-09-30 | Novellus Systems Inc | Method for etching organic hardmasks |
CN103243310B (zh) | 2012-02-14 | 2017-04-12 | 诺发系统公司 | 在衬底表面上的等离子体激活的保形膜沉积的方法 |
US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
KR101618494B1 (ko) | 2012-03-30 | 2016-05-04 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치, 기판 처리 장치의 보수 방법 및 기록 매체 |
US9048294B2 (en) | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
JP6056854B2 (ja) | 2012-05-14 | 2017-01-11 | コニカミノルタ株式会社 | ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
JP6034598B2 (ja) | 2012-05-31 | 2016-11-30 | ギガフォトン株式会社 | Euv光生成装置の洗浄方法 |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
JP5913077B2 (ja) | 2012-12-18 | 2016-04-27 | 信越化学工業株式会社 | ポジ型レジスト材料及びこれを用いたパターン形成方法 |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
WO2014094103A1 (en) | 2012-12-18 | 2014-06-26 | Seastar Chemicals Inc. | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers |
JP6280721B2 (ja) | 2013-01-22 | 2018-02-14 | 東京エレクトロン株式会社 | TiN膜の成膜方法および記憶媒体 |
JP6134522B2 (ja) | 2013-01-30 | 2017-05-24 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
JP6068171B2 (ja) | 2013-02-04 | 2017-01-25 | 株式会社日立ハイテクノロジーズ | 試料の処理方法および試料処理装置 |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
JP5871844B2 (ja) | 2013-03-06 | 2016-03-01 | 東京エレクトロン株式会社 | 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム |
KR102177738B1 (ko) | 2013-03-08 | 2020-11-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트 |
US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US9411237B2 (en) | 2013-03-14 | 2016-08-09 | Applied Materials, Inc. | Resist hardening and development processes for semiconductor device manufacturing |
US10953441B2 (en) | 2013-03-15 | 2021-03-23 | Kla Corporation | System and method for cleaning optical surfaces of an extreme ultraviolet optical system |
US10074544B2 (en) | 2013-04-23 | 2018-09-11 | Massachusetts Institute Of Technology | Developer free positive tone lithography by thermal direct write |
JP6242095B2 (ja) | 2013-06-28 | 2017-12-06 | 株式会社日立国際電気 | クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム |
US20150020848A1 (en) | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
US9405204B2 (en) | 2013-09-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of overlay in extreme ultra-violet (EUV) lithography |
US9721802B2 (en) | 2013-10-03 | 2017-08-01 | Applied Materials, Inc. | LED based optical source coupled with plasma source |
US9653319B2 (en) | 2013-11-08 | 2017-05-16 | Tokyo Electron Limited | Method for using post-processing methods for accelerating EUV lithography |
JP5917477B2 (ja) | 2013-11-29 | 2016-05-18 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US9139908B2 (en) | 2013-12-12 | 2015-09-22 | The Boeing Company | Gradient thin films |
US9305839B2 (en) | 2013-12-19 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Curing photo resist for improving etching selectivity |
US9324606B2 (en) | 2014-01-09 | 2016-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned repairing process for barrier layer |
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
TWI739285B (zh) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US10025187B2 (en) | 2014-02-21 | 2018-07-17 | Tokyo Electron Limited | Photosensitization chemical-amplification type resist material, method for forming pattern using same, semiconductor device, mask for lithography, and template for nanoimprinting |
KR102615912B1 (ko) | 2014-02-24 | 2023-12-19 | 도쿄엘렉트론가부시키가이샤 | 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스 |
KR102233577B1 (ko) | 2014-02-25 | 2021-03-30 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
KR102312211B1 (ko) | 2014-02-26 | 2021-10-14 | 닛산 가가쿠 가부시키가이샤 | 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체장치의 제조방법 |
JP2015185594A (ja) | 2014-03-20 | 2015-10-22 | 株式会社日立ハイテクノロジーズ | エッチング装置 |
KR20160146839A (ko) | 2014-04-22 | 2016-12-21 | 사빅 글로벌 테크놀러지스 비.브이. | 집적 플랙서블 투명 전도성 필름 |
US10685846B2 (en) | 2014-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor integrated circuit fabrication with pattern-reversing process |
US9377692B2 (en) | 2014-06-10 | 2016-06-28 | Applied Materials, Inc. | Electric/magnetic field guided acid diffusion |
KR101989707B1 (ko) | 2014-07-08 | 2019-06-14 | 도쿄엘렉트론가부시키가이샤 | 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법 |
GB201412201D0 (en) | 2014-07-09 | 2014-08-20 | Isis Innovation | Two-step deposition process |
US20160041471A1 (en) | 2014-08-07 | 2016-02-11 | International Business Machines Corporation | Acidified conductive water for developer residue removal |
JP6391355B2 (ja) | 2014-08-11 | 2018-09-19 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
KR101994793B1 (ko) | 2014-09-02 | 2019-07-01 | 후지필름 가부시키가이샤 | 패턴 형성 방법, 전자 디바이스의 제조 방법, 레지스트 조성물, 및 레지스트막 |
KR20170059991A (ko) | 2014-09-17 | 2017-05-31 | 제이에스알 가부시끼가이샤 | 패턴 형성 방법 |
US20160086864A1 (en) | 2014-09-24 | 2016-03-24 | Lam Research Corporation | Movable gas nozzle in drying module |
JP6314779B2 (ja) | 2014-10-01 | 2018-04-25 | 東京エレクトロン株式会社 | 液処理方法、記憶媒体及び液処理装置 |
KR102696070B1 (ko) | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
JP6317232B2 (ja) | 2014-10-29 | 2018-04-25 | 東京エレクトロン株式会社 | 選択成長方法および基板処理装置 |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9551924B2 (en) | 2015-02-12 | 2017-01-24 | International Business Machines Corporation | Structure and method for fixing phase effects on EUV mask |
EP3268997A1 (en) | 2015-03-09 | 2018-01-17 | Versum Materials US, LLC | Process for depositing porous organosilicate glass films for use as resistive random access memory |
JP6404757B2 (ja) | 2015-03-27 | 2018-10-17 | 信越化学工業株式会社 | レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法 |
US9607834B2 (en) | 2015-04-02 | 2017-03-28 | Tokyo Electron Limited | Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP) |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
EP3091103A1 (en) | 2015-05-04 | 2016-11-09 | Centre National De La Recherche Scientifique | Process for obtaining patterned metal-oxide thin films deposited onto a substrate, filmed substrates obtained thereof, and semiconductor nanodevices comprising them |
DE102015208492A1 (de) | 2015-05-07 | 2016-11-10 | Reiner Diefenbach | Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung |
JP6494417B2 (ja) | 2015-05-20 | 2019-04-03 | 株式会社ディスコ | プラズマエッチング装置 |
US9829790B2 (en) | 2015-06-08 | 2017-11-28 | Applied Materials, Inc. | Immersion field guided exposure and post-exposure bake process |
US9659771B2 (en) | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
CN114121605A (zh) | 2015-06-26 | 2022-03-01 | 应用材料公司 | 氧化硅膜的选择性沉积 |
JP6447393B2 (ja) | 2015-07-06 | 2019-01-09 | 東京エレクトロン株式会社 | 成膜処理装置、成膜処理方法及び記憶媒体 |
JP6817692B2 (ja) | 2015-08-27 | 2021-01-20 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10468249B2 (en) | 2015-09-28 | 2019-11-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning process of a semiconductor structure with a middle layer |
KR102508142B1 (ko) | 2015-10-13 | 2023-03-08 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US9996004B2 (en) * | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
EP3382452B1 (en) | 2015-11-25 | 2021-03-10 | Osaka University | Resist-pattern formation method and resist material |
JP6603115B2 (ja) | 2015-11-27 | 2019-11-06 | 信越化学工業株式会社 | ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法 |
US10503070B2 (en) | 2015-12-10 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
JP6517678B2 (ja) | 2015-12-11 | 2019-05-22 | 株式会社Screenホールディングス | 電子デバイスの製造方法 |
US10948825B2 (en) | 2015-12-23 | 2021-03-16 | Asml Netherlands B.V. | Method for removing photosensitive material on a substrate |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6742748B2 (ja) | 2016-02-17 | 2020-08-19 | 株式会社Screenホールディングス | 現像ユニット、基板処理装置、現像方法および基板処理方法 |
US10018920B2 (en) * | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
GB201603988D0 (en) | 2016-03-08 | 2016-04-20 | Semblant Ltd | Plasma deposition method |
WO2017156388A1 (en) | 2016-03-11 | 2017-09-14 | Inpria Corporation | Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates |
US10825684B2 (en) | 2016-03-18 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Material composition and methods thereof |
US11315798B2 (en) | 2016-04-08 | 2022-04-26 | Intel Corporation | Two-stage bake photoresist with releasable quencher |
US20200249573A1 (en) | 2016-04-28 | 2020-08-06 | Mitsubishi Gas Chemical Company, Inc. | Composition for resist underlayer film formation, underlayer film for lithography, and pattern formation method |
JP6611666B2 (ja) | 2016-05-16 | 2019-11-27 | 東京エレクトロン株式会社 | 載置台システム、基板処理装置及び温度制御方法 |
US20190129301A1 (en) | 2016-05-19 | 2019-05-02 | Asml Netherlands B.V. | Resist compositions |
JP2017222928A (ja) | 2016-05-31 | 2017-12-21 | 東京エレクトロン株式会社 | 表面処理による選択的堆積 |
EP3258317B1 (en) | 2016-06-16 | 2022-01-19 | IMEC vzw | Method for performing extreme ultra violet (euv) lithography |
JP6799393B2 (ja) | 2016-06-20 | 2020-12-16 | 三星電子株式会社Samsung Electronics Co.,Ltd. | ヒータ付きウェハ載置機構及び成膜装置 |
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
WO2018004551A1 (en) | 2016-06-28 | 2018-01-04 | Intel Corporation | Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography |
WO2018004646A1 (en) | 2016-07-01 | 2018-01-04 | Intel Corporation | Metal oxide resist materials |
JP2018017780A (ja) | 2016-07-25 | 2018-02-01 | Jsr株式会社 | 感放射線性組成物及びパターン形成方法 |
US10866516B2 (en) | 2016-08-05 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
JP2018025686A (ja) | 2016-08-10 | 2018-02-15 | 株式会社リコー | 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置 |
KR20230166158A (ko) | 2016-08-12 | 2023-12-06 | 인프리아 코포레이션 | 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법 |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
JPWO2018061670A1 (ja) | 2016-09-29 | 2019-06-24 | 富士フイルム株式会社 | 処理液、および積層体の処理方法 |
KR101966808B1 (ko) | 2016-09-30 | 2019-04-08 | 세메스 주식회사 | 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치 |
KR102614850B1 (ko) | 2016-10-05 | 2023-12-18 | 삼성전자주식회사 | 반도체 소자 제조방법 |
US10755942B2 (en) | 2016-11-02 | 2020-08-25 | Massachusetts Institute Of Technology | Method of forming topcoat for patterning |
US10510538B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing EUV-induced material property changes |
US10520821B2 (en) | 2016-11-29 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography process with enhanced etch selectivity |
JP6781031B2 (ja) | 2016-12-08 | 2020-11-04 | 東京エレクトロン株式会社 | 基板処理方法及び熱処理装置 |
US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
US10866511B2 (en) * | 2016-12-15 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with developer composition |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
KR102047538B1 (ko) | 2017-02-03 | 2019-11-21 | 삼성에스디아이 주식회사 | 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법 |
JP7190814B2 (ja) | 2017-02-13 | 2022-12-16 | ラム リサーチ コーポレーション | エアギャップの形成方法 |
US10096477B2 (en) | 2017-02-15 | 2018-10-09 | International Business Machines Corporation | Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography |
WO2018173446A1 (ja) | 2017-03-22 | 2018-09-27 | Jsr株式会社 | パターン形成方法 |
KR102490700B1 (ko) | 2017-03-27 | 2023-01-26 | 주식회사 히타치하이테크 | 플라스마 처리 방법 |
JP2020095068A (ja) | 2017-03-31 | 2020-06-18 | 富士フイルム株式会社 | パターン形成方法、電子デバイスの製造方法 |
US20180308687A1 (en) | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
KR102030056B1 (ko) | 2017-05-02 | 2019-11-11 | 세메스 주식회사 | 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치 |
US10553409B2 (en) | 2017-05-12 | 2020-02-04 | Tokyo Electron Limited | Method of cleaning plasma processing apparatus |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
CN108962986B (zh) | 2017-05-18 | 2021-07-06 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
JP6852566B2 (ja) | 2017-05-26 | 2021-03-31 | 大日本印刷株式会社 | パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム |
US10745282B2 (en) | 2017-06-08 | 2020-08-18 | Applied Materials, Inc. | Diamond-like carbon film |
CA2975104A1 (en) | 2017-08-02 | 2019-02-02 | Seastar Chemicals Inc. | Organometallic compounds and methods for the deposition of high purity tin oxide |
JP6579173B2 (ja) | 2017-09-19 | 2019-09-25 | セイコーエプソン株式会社 | 電気光学装置、電気光学装置の駆動方法、及び、電子機器 |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US20190131130A1 (en) | 2017-10-31 | 2019-05-02 | Lam Research Corporation | Etching metal oxide substrates using ale and selective deposition |
KR102067081B1 (ko) | 2017-11-01 | 2020-01-16 | 삼성에스디아이 주식회사 | 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법 |
KR20240019399A (ko) | 2017-11-20 | 2024-02-14 | 인프리아 코포레이션 | 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111587474A (zh) | 2017-12-01 | 2020-08-25 | 应用材料公司 | 高蚀刻选择性的非晶碳膜 |
WO2019111727A1 (ja) | 2017-12-06 | 2019-06-13 | Jsr株式会社 | 感放射線性組成物及びレジストパターン形成方法 |
US11243465B2 (en) | 2017-12-18 | 2022-02-08 | Tokyo Electron Limited | Plasma treatment method to enhance surface adhesion for lithography |
US10347486B1 (en) | 2017-12-19 | 2019-07-09 | International Business Machines Corporation | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
KR102540963B1 (ko) | 2017-12-27 | 2023-06-07 | 삼성전자주식회사 | 미세 패턴 형성 방법 및 기판 처리 장치 |
KR20190085654A (ko) | 2018-01-11 | 2019-07-19 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
JP7005369B2 (ja) | 2018-02-05 | 2022-01-21 | キオクシア株式会社 | 薬液塗布装置および半導体デバイスの製造方法 |
US11347154B2 (en) | 2018-02-13 | 2022-05-31 | Asml Netherlands B.V. | Cleaning a structure surface in an EUV chamber |
CN111742262A (zh) | 2018-02-22 | 2020-10-02 | 株式会社大赛璐 | 基板亲水化处理剂 |
KR102642011B1 (ko) | 2018-03-30 | 2024-02-27 | 램 리써치 코포레이션 | 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing) |
TWI814552B (zh) | 2018-04-05 | 2023-09-01 | 美商英培雅股份有限公司 | 錫十二聚物及具有強euv吸收的輻射可圖案化塗層 |
US11673903B2 (en) | 2018-04-11 | 2023-06-13 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
US10787466B2 (en) | 2018-04-11 | 2020-09-29 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
JP7101036B2 (ja) | 2018-04-26 | 2022-07-14 | 東京エレクトロン株式会社 | 処理液供給装置及び処理液供給方法 |
US20190348292A1 (en) | 2018-05-10 | 2019-11-14 | International Business Machines Corporation | Transferring euv resist pattern to eliminate pattern transfer defectivity |
CN112020676A (zh) | 2018-05-11 | 2020-12-01 | 朗姆研究公司 | 制造euv可图案化硬掩模的方法 |
KR20200142601A (ko) | 2018-05-16 | 2020-12-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋 |
WO2019230462A1 (ja) | 2018-05-29 | 2019-12-05 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体 |
KR102211158B1 (ko) | 2018-06-08 | 2021-02-01 | 삼성에스디아이 주식회사 | 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법 |
JP7348210B2 (ja) | 2018-06-13 | 2023-09-20 | ブルーワー サイエンス アイ エヌ シー. | Euvリソグラフィ用接着層 |
US11054742B2 (en) | 2018-06-15 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV metallic resist performance enhancement via additives |
US11393703B2 (en) | 2018-06-18 | 2022-07-19 | Applied Materials, Inc. | Apparatus and method for controlling a flow process material to a deposition chamber |
KR102698582B1 (ko) | 2018-06-21 | 2024-08-23 | 인프리아 코포레이션 | 모노알킬 주석 알콕사이드 및 이들의 가수분해 및 축합 생성물의 안정적인 용액 |
US11249384B2 (en) | 2018-06-29 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mask for EUV lithography and method of manufacturing the same |
US11437238B2 (en) | 2018-07-09 | 2022-09-06 | Applied Materials, Inc. | Patterning scheme to improve EUV resist and hard mask selectivity |
US11092889B2 (en) | 2018-07-31 | 2021-08-17 | Samsung Sdi Co., Ltd. | Semiconductor resist composition, and method of forming patterns using the composition |
US10840082B2 (en) | 2018-08-09 | 2020-11-17 | Lam Research Corporation | Method to clean SnO2 film from chamber |
FI129480B (en) | 2018-08-10 | 2022-03-15 | Pibond Oy | Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning |
US10838304B2 (en) | 2018-08-13 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Priming material for organometallic resist |
JP7241486B2 (ja) | 2018-08-21 | 2023-03-17 | 東京エレクトロン株式会社 | マスクの形成方法 |
JP7213642B2 (ja) | 2018-09-05 | 2023-01-27 | 東京エレクトロン株式会社 | レジスト膜の製造方法 |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
JP6816083B2 (ja) | 2018-10-22 | 2021-01-20 | キオクシア株式会社 | 半導体装置の製造方法 |
US10845704B2 (en) | 2018-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance |
JP6597872B2 (ja) | 2018-11-13 | 2019-10-30 | 東京エレクトロン株式会社 | 基板処理方法 |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
US12025919B2 (en) | 2018-11-30 | 2024-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of storing photoresist coated substrates and semiconductor substrate container arrangement |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
CN109521657A (zh) | 2018-12-11 | 2019-03-26 | 中国科学院光电技术研究所 | 一种表面等离子体光刻中小分子光刻胶的干法显影方法 |
KR20210095218A (ko) | 2018-12-20 | 2021-07-30 | 램 리써치 코포레이션 | 레지스트들의 건식 현상 (dry development) |
US11966158B2 (en) | 2019-01-30 | 2024-04-23 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods |
US11498934B2 (en) | 2019-01-30 | 2022-11-15 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods |
EP3931863A4 (en) | 2019-02-25 | 2023-04-26 | Board of Regents, The University of Texas System | LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING |
KR20210129739A (ko) | 2019-03-18 | 2021-10-28 | 램 리써치 코포레이션 | 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소 |
KR102699733B1 (ko) | 2019-04-12 | 2024-08-27 | 인프리아 코포레이션 | 유기금속 포토레지스트 현상제 조성물 및 처리 방법 |
WO2020223152A1 (en) | 2019-04-29 | 2020-11-05 | Lam Research Corporation | Atomic layer etching for subtractive metal etch |
WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
EP3990984A4 (en) | 2019-06-27 | 2023-07-26 | Lam Research Corporation | DEVICE FOR DRY DEPOSITION OF PHOTOVARNISH |
TWI849159B (zh) | 2019-06-28 | 2024-07-21 | 美商蘭姆研究公司 | 光阻膜的乾式腔室清潔 |
US20220308454A1 (en) | 2019-06-28 | 2022-09-29 | Lam Research Corporation | Bake strategies to enhance lithographic performance of metal-containing resist |
JP2022540789A (ja) | 2019-06-28 | 2022-09-20 | ラム リサーチ コーポレーション | 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト |
KR20210007862A (ko) | 2019-07-09 | 2021-01-20 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 포함한 구조체 및 이의 형성 방법 |
US11782345B2 (en) | 2019-08-05 | 2023-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bottom antireflective coating materials |
CN114730133A (zh) | 2019-10-02 | 2022-07-08 | 朗姆研究公司 | 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性 |
US20220299877A1 (en) | 2019-10-08 | 2022-09-22 | Lam Research Corporation | Positive tone development of cvd euv resist films |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
WO2021158433A1 (en) | 2020-02-04 | 2021-08-12 | Lam Research Corporation | Post application/exposure treatments to improve dry development performance of metal-containing euv resist |
US11947262B2 (en) | 2020-03-02 | 2024-04-02 | Inpria Corporation | Process environment for inorganic resist patterning |
US11822237B2 (en) | 2020-03-30 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
WO2021202146A1 (en) | 2020-03-30 | 2021-10-07 | Lam Research Corporation | Structure and method to achieve positive tone dry develop by a hermetic overlayer |
US11705332B2 (en) | 2020-03-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern |
JP2023519834A (ja) | 2020-03-31 | 2023-05-15 | ラム リサーチ コーポレーション | 増感剤の気相注入によるeuvドライレジスト増感のための装置及び処理 |
WO2021202681A1 (en) | 2020-04-03 | 2021-10-07 | Lam Research Corporation | Pre-exposure photoresist curing to enhance euv lithographic performance |
US20230230811A1 (en) | 2020-06-22 | 2023-07-20 | Lam Research Corporation | Surface modification for metal-containing photoresist deposition |
CN115885376A (zh) | 2020-06-22 | 2023-03-31 | 朗姆研究公司 | 光致抗蚀剂的干式背侧和斜面边缘清洁 |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
WO2022010809A1 (en) | 2020-07-07 | 2022-01-13 | Lam Research Corporation | Integrated dry processes for patterning radiation photoresist patterning |
CN116134383A (zh) | 2020-07-17 | 2023-05-16 | 朗姆研究公司 | 用于含金属光致抗蚀剂的显影的金属螯合剂 |
US20230314946A1 (en) | 2020-07-17 | 2023-10-05 | Lam Research Corporation | Method of forming photo-sensitive hybrid films |
US20230259025A1 (en) | 2020-07-17 | 2023-08-17 | Lam Research Corporation | Dry deposited photoresists with organic co-reactants |
WO2022016124A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Photoresists containing tantalum |
CN116171403A (zh) | 2020-07-17 | 2023-05-26 | 朗姆研究公司 | 来自Sn(II)前体的光致抗蚀剂 |
KR20230152171A (ko) | 2020-11-13 | 2023-11-02 | 램 리써치 코포레이션 | 포토레지스트의 건식 제거를 위한 프로세스 툴 |
KR20230113400A (ko) | 2020-12-08 | 2023-07-28 | 램 리써치 코포레이션 | 유기 증기를 사용한 포토레지스트 현상 |
JP2024507190A (ja) | 2021-02-23 | 2024-02-16 | ラム リサーチ コーポレーション | ハロゲンおよび脂肪族含有有機スズフォトレジストおよびその方法 |
-
2020
- 2020-06-24 TW TW109121680A patent/TWI837391B/zh active
- 2020-06-24 TW TW113107490A patent/TW202424665A/zh unknown
- 2020-06-25 CN CN202080046943.1A patent/CN114026501A/zh active Pending
- 2020-06-25 KR KR1020247027915A patent/KR20240131468A/ko not_active Application Discontinuation
- 2020-06-25 WO PCT/US2020/039615 patent/WO2020264158A1/en unknown
- 2020-06-25 US US17/596,648 patent/US12105422B2/en active Active
- 2020-06-25 KR KR1020247027916A patent/KR102708141B1/ko active IP Right Grant
- 2020-06-25 EP EP20831843.6A patent/EP3990987A4/en active Pending
- 2020-06-25 JP JP2021575910A patent/JP2022538040A/ja active Pending
-
2024
- 2024-07-03 JP JP2024107102A patent/JP2024133562A/ja active Pending
- 2024-07-03 JP JP2024107101A patent/JP2024133561A/ja active Pending
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI811719B (zh) * | 2020-07-01 | 2023-08-11 | 美商應用材料股份有限公司 | 用於金屬側氧基光阻之氣相熱蝕刻液 |
US12033866B2 (en) | 2020-07-01 | 2024-07-09 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
US12068170B2 (en) | 2020-07-01 | 2024-08-20 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
Also Published As
Publication number | Publication date |
---|---|
JP2024133562A (ja) | 2024-10-02 |
KR20220025020A (ko) | 2022-03-03 |
TWI837391B (zh) | 2024-04-01 |
KR20240131468A (ko) | 2024-08-30 |
CN114026501A (zh) | 2022-02-08 |
KR102708141B1 (ko) | 2024-09-24 |
TW202424665A (zh) | 2024-06-16 |
JP2024133561A (ja) | 2024-10-02 |
KR20240131469A (ko) | 2024-08-30 |
US12105422B2 (en) | 2024-10-01 |
EP3990987A4 (en) | 2023-08-16 |
WO2020264158A1 (en) | 2020-12-30 |
US20220244645A1 (en) | 2022-08-04 |
JP2022538040A (ja) | 2022-08-31 |
EP3990987A1 (en) | 2022-05-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI837391B (zh) | 利用鹵化物化學品的光阻顯影 | |
TWI845848B (zh) | 用於圖案化輻射光阻圖案化的整合型乾式處理系統 | |
JP2023513134A (ja) | 金属含有euvレジストの乾式現像性能を高めるための塗布/露光後処理 | |
US20230416606A1 (en) | Photoresist development with organic vapor | |
TW202407467A (zh) | 含金屬光阻的重工 | |
KR102722241B1 (ko) | 할로겐 화학 물질들을 사용한 포토레지스트 현상 | |
US20240361696A1 (en) | Photoresist development with halide chemistries | |
US20240329538A1 (en) | Cyclic development of metal oxide based photoresist for etch stop deterrence | |
TW202307594A (zh) | 來自含金屬光阻之金屬汙染物的控制 |