WO2024101166A1 - 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム - Google Patents

基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム Download PDF

Info

Publication number
WO2024101166A1
WO2024101166A1 PCT/JP2023/038633 JP2023038633W WO2024101166A1 WO 2024101166 A1 WO2024101166 A1 WO 2024101166A1 JP 2023038633 W JP2023038633 W JP 2023038633W WO 2024101166 A1 WO2024101166 A1 WO 2024101166A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
group
substrate
film
compound
Prior art date
Application number
PCT/JP2023/038633
Other languages
English (en)
French (fr)
Inventor
健太 小野
由太 中根
翔 熊倉
哲也 西塚
昌伸 本田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Publication of WO2024101166A1 publication Critical patent/WO2024101166A1/ja

Links

Images

Definitions

  • Exemplary embodiments of the present disclosure relate to a substrate processing method, a composition for forming a metal-containing resist, a metal-containing resist, and a substrate processing system.
  • Patent Document 1 discloses a technology for forming a thin film that can be patterned on a semiconductor substrate using extreme ultraviolet light (hereinafter referred to as EUV).
  • EUV extreme ultraviolet light
  • This disclosure provides a technique for adjusting the composition of a metal-containing resist film formed on a substrate.
  • a substrate processing method including the steps of: (a) providing a substrate having an undercoat film; and (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound.
  • a technique can be provided for adjusting the composition of a metal-containing resist film formed on a substrate.
  • FIG. 1 is a diagram for explaining a configuration example of a heat treatment system.
  • FIG. 1 is a diagram for explaining a configuration example of a plasma processing system.
  • FIG. 1 is a diagram for explaining a configuration example of a capacitively coupled plasma processing apparatus.
  • FIG. 1 is a diagram for explaining a configuration example of a liquid processing system.
  • 3 is a flowchart showing the present processing method.
  • 2 is a diagram showing an example of an undercoat film UF of a substrate W.
  • FIG. 2 is a diagram showing an example of an undercoat film UF of a substrate W.
  • FIG. 2 is a diagram showing an example of a cross-sectional structure of a substrate W on which a metal-containing resist film RM is formed.
  • 11 is a flowchart showing an example of a process ST2 using an ALD method.
  • 11 is a flowchart showing an example of a process ST2 using an ALD method.
  • 1A to 1C are diagrams illustrating an example of a phenomenon that occurs on the surface of a substrate W in a process ST2 using an ALD method.
  • FIG. 2 is a block diagram for explaining an example of the configuration of a substrate processing system SS.
  • 1 is a flowchart showing a method MT.
  • a substrate processing method includes the steps of: (a) providing a substrate having an undercoat film; and (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound.
  • the metal-containing precursor comprises a compound ( ⁇ ) having an amine group and/or an alkoxy group.
  • compound ( ⁇ ) includes compound ( ⁇ 1) containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • compound ( ⁇ 1) contains Sn.
  • the photosensitive group comprises at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and -CH x F y (wherein x represents an integer of 0 to 2, and y represents an integer of 1 to 3).
  • the polyfunctional compound includes at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • step (b) further includes at least one selected from the group consisting of H 2 O, H 2 O 2 , O 3 , and O 2 .
  • step (b) includes the steps of (b1) supplying a gas containing a metal-containing precursor onto the base film to form a metal-containing precursor film, and (b2) supplying a gas containing a polyfunctional compound to the metal-containing precursor film to form a metal-containing resist film from the metal-containing precursor film.
  • steps (b1) and (b2) are repeated multiple times.
  • step (b) includes forming a metal-containing resist film using a mixed gas containing a metal-containing precursor and a multifunctional compound.
  • the metal-containing precursor comprises a metal complex containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • the polyfunctional compound includes at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • step (b) includes applying a solution containing a metal-containing precursor and a polyfunctional compound onto the base film, and heating the applied solution to form a metal-containing resist film.
  • the substrate processing method further includes (c) exposing the substrate after step (b) to form an exposed first region and an unexposed second region in the metal-containing resist film, and (d) developing the substrate to selectively remove the second region from the metal-containing resist film.
  • step (d) the second region is removed using a developing gas or liquid containing a weak acid.
  • the weak acid comprises an organic acid with a pKa ⁇ 16.
  • the organic acid includes at least one selected from the group consisting of alcohols, thiols, carboxylic acids, sulfonic acids, ⁇ -diketones, alkyl carbonates, and azoles.
  • a metal-containing resist-forming composition includes a metal-containing precursor having a photosensitive group and a polyfunctional compound, where the metal-containing precursor includes a compound having a photosensitive group and an amine group and/or an alkoxy group.
  • a metal-containing resist includes a compound having a repeat unit represented by the following formula (1) in the molecule: -(M-X-A-X)- (1)
  • M represents Sn, Ti, Hf, Zr, or In
  • X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate, or a polyisothiocyanate
  • A represents a divalent organic group having 2 or more and 10 or less carbon atoms.
  • a substrate processing system has one or more substrate processing apparatuses and a controller, and the controller is configured to control the one or more substrate processing apparatuses to (a) provide a substrate having an undercoat film, and (b) form a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a multifunctional compound.
  • a developing method includes the steps of: (a) providing a substrate having an undercoat film and a metal-containing resist on the undercoat film; (b) exposing the metal-containing resist through an exposure mask to form an exposed first region and an unexposed second region in the metal-containing resist; and (c) selectively removing one of the first region and the second region, wherein the metal-containing resist in the step (a) contains a compound having a repeating unit represented by the following formula (1) in its molecule: -(M-X-A-X)- (1) (In the above formula (1), M represents Sn, Ti, Hf, Zr, or In, X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate, or a polyisothiocyanate, and A represents a divalent organic group having 2 or more and 10 or less carbon atoms.) is provided.
  • an etching method includes the steps of: (a) providing a substrate having an undercoat film and a metal-containing resist on the undercoat film, wherein the metal-containing resist has at least one opening; and (b) etching the undercoat film through the opening, wherein the metal-containing resist contains a compound having a repeating unit represented by the following formula (1) in its molecule: -(M-X-A-X)- (1)
  • M represents Sn, Ti, Hf, Zr, or In
  • X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate, or a polyisothiocyanate
  • A represents a divalent organic group having 2 or more and 10 or less carbon atoms.
  • the substrate processing method according to the present disclosure may be performed by a substrate processing system.
  • the substrate processing system has one or more substrate processing apparatuses and a controller, and the controller is configured to control the one or more substrate processing apparatuses to (a) provide a substrate having an undercoat film, and (b) form a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a multifunctional compound.
  • the substrate processing system according to the present disclosure may include a heat processing system, a plasma processing system, a liquid processing system, etc.
  • ⁇ Example of heat treatment system configuration> 1 is a diagram for explaining an example of the configuration of a heat treatment system.
  • the heat treatment system includes a heat treatment device 100 and a control unit 200.
  • the heat treatment system is an example of a substrate treatment system
  • the heat treatment device 100 is an example of a substrate treatment device.
  • the heat treatment apparatus 100 has a processing chamber 102 configured to be able to form an enclosed space.
  • the processing chamber 102 is, for example, an airtight cylindrical container, and is configured to be able to adjust the atmosphere inside.
  • a side wall heater 104 is provided on the side wall of the processing chamber 102.
  • a ceiling heater 130 is provided on the ceiling wall (top plate) of the processing chamber 102.
  • the ceiling surface 140 of the ceiling wall (top plate) of the processing chamber 102 is formed as a horizontal flat surface, and its temperature is adjusted by the ceiling heater 130.
  • a substrate support 121 is provided at the lower side of the processing chamber 102.
  • the substrate support 121 has a substrate support surface on which the substrate W is supported.
  • the substrate support 121 is formed, for example, in a circular shape in a plan view, and the substrate W is placed on its horizontally formed surface (upper surface).
  • a stage heater 120 is embedded in the substrate support 121. This stage heater 120 can heat the substrate W placed on the substrate support 121.
  • a ring assembly (not shown) may be arranged in the substrate support 121 to surround the substrate W.
  • the ring assembly may include one or more annular members. By arranging the ring assembly around the substrate W, the temperature controllability of the outer peripheral region of the substrate W can be improved.
  • the ring assembly may be made of an inorganic material or an organic material depending on the intended heat treatment.
  • the substrate support 121 is supported within the processing chamber 102 by pillars 122 provided on the bottom surface of the processing chamber 102.
  • a plurality of lift pins 123 that can be raised and lowered vertically are provided on the circumferential outer side of the pillars 122.
  • Each of the lift pins 123 is inserted into a through hole provided in the substrate support 121.
  • the lift pins 123 are arranged at intervals in the circumferential direction.
  • the lifting and lowering operation of the lift pins 123 is controlled by a lifting mechanism 124.
  • the side wall of the processing chamber 102 is provided with an exhaust port 131 having an opening.
  • the exhaust port 131 is connected to an exhaust mechanism 132 via an exhaust pipe.
  • the exhaust mechanism 132 is composed of a vacuum pump, a valve, etc., and adjusts the exhaust flow rate from the exhaust port 131.
  • the pressure inside the processing chamber 102 is adjusted by adjusting the exhaust flow rate, etc., using the exhaust mechanism 132.
  • a transfer port for a substrate W (not shown) is formed in the side wall of the processing chamber 102 at a position different from the position where the exhaust port 131 opens, so as to be freely opened and closed.
  • a gas nozzle 141 is provided on the sidewall of the processing chamber 102 at a position different from the exhaust port 131 and the transfer port for the substrate W.
  • the gas nozzle 141 supplies processing gas into the processing chamber 102.
  • the gas nozzle 141 is provided on the sidewall of the processing chamber 102 on the opposite side of the exhaust port 131 when viewed from the center of the substrate support part 121.
  • the gas nozzle 141 is provided on the sidewall of the processing chamber 102 symmetrically to the exhaust port 131 with respect to a vertical imaginary plane that passes through the center of the substrate support part 121.
  • the gas nozzle 141 is formed in a rod shape that protrudes from the sidewall of the processing chamber 102 toward the center of the processing chamber 102.
  • the tip of the gas nozzle 141 extends, for example, horizontally from the sidewall of the processing chamber 102.
  • the processing gas is discharged into the processing chamber 102 from a discharge port that opens at the tip of the gas nozzle 141, flows in the direction of the dashed arrow shown in FIG. 1, and is exhausted from the exhaust port 131.
  • the tip of the gas nozzle 141 may have a shape that extends diagonally downward toward the substrate W, or may have a shape that extends diagonally upward toward the ceiling surface 140 of the processing chamber 102.
  • the gas nozzle 141 may be provided, for example, in the ceiling wall of the processing chamber 102.
  • the exhaust port 131 may be provided in the bottom surface of the processing chamber 102.
  • the heat treatment apparatus 100 has a gas supply pipe 152 that is connected to a gas nozzle 141 from the outside of the processing chamber 102.
  • a piping heater 160 for heating the gas in the gas supply pipe is provided around the gas supply pipe 152.
  • the gas supply pipe 152 is connected to a gas supply unit 170.
  • the gas supply unit 170 includes at least one gas source and at least one flow rate controller.
  • the gas supply unit may include a vaporizer that vaporizes a material in a liquid state.
  • the control unit 200 processes computer-executable instructions that cause the heat treatment device 100 to perform the various steps described in this disclosure.
  • the control unit 200 may be configured to control each element of the heat treatment device 100 to perform the various steps described herein. In one embodiment, a part or all of the control unit 200 may be included in the heat treatment device 100.
  • the control unit 200 may include a processing unit 200a1, a storage unit 200a2, and a communication interface 200a3.
  • the control unit 200 is realized, for example, by a computer 200a.
  • the processing unit 200a1 may be configured to perform various control operations by reading a program from the storage unit 200a2 and executing the read program. This program may be stored in the storage unit 200a2 in advance, or may be acquired via a medium when necessary.
  • the acquired program is stored in the storage unit 200a2 and is read from the storage unit 200a2 by the processing unit 200a1 and executed.
  • the medium may be various storage media readable by the computer 200a, or may be a communication line connected to the communication interface 200a3.
  • the processing unit 200a1 may be a CPU (Central Processing Unit).
  • the memory unit 200a2 may include a RAM (Random Access Memory), a ROM (Read Only Memory), a HDD (Hard Disk Drive), an SSD (Solid State Drive), or a combination thereof.
  • the communication interface 200a3 may communicate with the heat treatment device 100 via a communication line such as a LAN (Local Area Network).
  • FIG. 2 is a diagram for explaining a configuration example of a plasma processing system.
  • the plasma processing system includes a plasma processing device 1 and a control unit 2.
  • the plasma processing system is an example of a substrate processing system
  • the plasma processing device 1 is an example of a substrate processing device.
  • the plasma processing device 1 includes a plasma processing chamber (hereinafter, also simply referred to as a "processing chamber") 10, a substrate support unit 11, and a plasma generation unit 12.
  • the plasma processing chamber 10 has a plasma processing space.
  • the plasma processing chamber 10 also has at least one gas supply port for supplying at least one processing gas to the plasma processing space, and at least one gas exhaust port for exhausting gas from the plasma processing space.
  • the gas supply port is connected to a gas supply unit 20 described later, and the gas exhaust port is connected to an exhaust system 40 described later.
  • the substrate support unit 11 is disposed in the plasma processing space, and has a substrate support surface for supporting a substrate.
  • the plasma generating unit 12 is configured to generate plasma from at least one processing gas supplied into the plasma processing space.
  • the plasma formed in the plasma processing space may be capacitively coupled plasma (CCP), inductively coupled plasma (ICP), electron-cyclotron-resonance plasma (ECR plasma), helicon wave excited plasma (HWP), or surface wave plasma (SWP), etc.
  • various types of plasma generating units may be used, including an alternating current (AC) plasma generating unit and a direct current (DC) plasma generating unit.
  • the AC signal (AC power) used in the AC plasma generation unit has a frequency in the range of 100 kHz to 10 GHz.
  • the AC signal includes an RF (Radio Frequency) signal and a microwave signal.
  • the RF signal has a frequency in the range of 100 kHz to 150 MHz.
  • the control unit 2 processes computer-executable instructions that cause the plasma processing apparatus 1 to perform the various steps described in this disclosure.
  • the control unit 2 may be configured to control each element of the plasma processing apparatus 1 to perform the various steps described herein. In one embodiment, a part or all of the control unit 2 may be included in the plasma processing apparatus 1.
  • the control unit 2 is realized by, for example, a computer 2a.
  • the control unit 2 may include a processing unit 2a1, a memory unit 2a2, and a communication interface 2a3. Each component of the control unit 2 may be similar to each component of the control unit 200 (see FIG. 1) described above.
  • FIG. 3 is a diagram for explaining a configuration example of a capacitively coupled plasma processing device.
  • the capacitively coupled plasma processing apparatus 1 includes a plasma processing chamber 10, a gas supply unit 20, a power supply 30, and an exhaust system 40.
  • the plasma processing apparatus 1 also includes a substrate support unit 11 and a gas inlet unit.
  • the gas inlet unit is configured to introduce at least one processing gas into the plasma processing chamber 10.
  • the gas inlet unit includes a shower head 13.
  • the substrate support unit 11 is disposed in the plasma processing chamber 10.
  • the shower head 13 is disposed above the substrate support unit 11. In one embodiment, the shower head 13 constitutes at least a part of the ceiling of the plasma processing chamber 10.
  • the plasma processing chamber 10 has a plasma processing space 10s defined by the shower head 13, the sidewall 10a of the plasma processing chamber 10, and the substrate support unit 11.
  • the plasma processing chamber 10 is grounded.
  • the shower head 13 and the substrate support unit 11 are electrically insulated from the housing of the plasma processing chamber 10.
  • the substrate support 11 includes a main body 111 and a ring assembly 112.
  • the main body 111 has a central region 111a for supporting the substrate W and an annular region 111b for supporting the ring assembly 112.
  • a wafer is an example of a substrate W.
  • the annular region 111b of the main body 111 surrounds the central region 111a of the main body 111 in a plan view.
  • the substrate W is disposed on the central region 111a of the main body 111
  • the ring assembly 112 is disposed on the annular region 111b of the main body 111 so as to surround the substrate W on the central region 111a of the main body 111. Therefore, the central region 111a is also called a substrate support surface for supporting the substrate W, and the annular region 111b is also called a ring support surface for supporting the ring assembly 112.
  • the main body 111 includes a base 1110 and an electrostatic chuck 1111.
  • the base 1110 includes a conductive member.
  • the conductive member of the base 1110 may function as a lower electrode.
  • the electrostatic chuck 1111 is disposed on the base 1110.
  • the electrostatic chuck 1111 includes a ceramic member 1111a and an electrostatic electrode 1111b disposed within the ceramic member 1111a.
  • the ceramic member 1111a has a central region 111a. In one embodiment, the ceramic member 1111a also has an annular region 111b. Note that other members surrounding the electrostatic chuck 1111, such as an annular electrostatic chuck or an annular insulating member, may have the annular region 111b.
  • the ring assembly 112 may be disposed on the annular electrostatic chuck or the annular insulating member, or may be disposed on both the electrostatic chuck 1111 and the annular insulating member.
  • at least one RF/DC electrode coupled to an RF power source 31 and/or a DC power source 32 described later may be disposed in the ceramic member 1111a.
  • the at least one RF/DC electrode functions as a lower electrode.
  • the RF/DC electrode is also called a bias electrode.
  • the conductive member of the base 1110 and the at least one RF/DC electrode may function as multiple lower electrodes.
  • the electrostatic electrode 1111b may function as a lower electrode.
  • the substrate support 11 includes at least one lower electrode.
  • the ring assembly 112 includes one or more annular members.
  • the one or more annular members include one or more edge rings and at least one cover ring.
  • the edge rings are formed of a conductive or insulating material, and the cover rings are formed of an insulating material.
  • the substrate support 11 may also include a temperature adjustment module configured to adjust at least one of the electrostatic chuck 1111, the ring assembly 112, and the substrate to a target temperature.
  • the temperature adjustment module may include a heater, a heat transfer medium, a flow passage 1110a, or a combination thereof.
  • a heat transfer fluid such as brine or a gas flows through the flow passage 1110a.
  • the flow passage 1110a is formed in the base 1110, and one or more heaters are disposed in the ceramic member 1111a of the electrostatic chuck 1111.
  • the substrate support 11 may also include a heat transfer gas supply configured to supply a heat transfer gas to a gap between the back surface of the substrate W and the central region 111a.
  • the shower head 13 is configured to introduce at least one processing gas from the gas supply unit 20 into the plasma processing space 10s.
  • the shower head 13 has at least one gas supply port 13a, at least one gas diffusion chamber 13b, and multiple gas inlets 13c.
  • the processing gas supplied to the gas supply port 13a passes through the gas diffusion chamber 13b and is introduced into the plasma processing space 10s from the multiple gas inlets 13c.
  • the shower head 13 also includes at least one upper electrode.
  • the gas introduction unit may include, in addition to the shower head 13, one or more side gas injectors (SGI) attached to one or more openings formed in the side wall 10a.
  • SGI side gas injectors
  • the gas supply unit 20 may include at least one gas source 21 and at least one flow controller 22.
  • the gas supply unit 20 is configured to supply at least one process gas from a respective gas source 21 through a respective flow controller 22 to the showerhead 13.
  • Each flow controller 22 may include, for example, a mass flow controller or a pressure-controlled flow controller.
  • the gas supply unit 20 may include at least one flow modulation device that modulates or pulses the flow rate of the at least one process gas.
  • the power supply 30 includes an RF power supply 31 coupled to the plasma processing chamber 10 via at least one impedance matching circuit.
  • the RF power supply 31 is configured to supply at least one RF signal (RF power) to at least one lower electrode and/or at least one upper electrode. This causes a plasma to be formed from at least one processing gas supplied to the plasma processing space 10s.
  • the RF power supply 31 can function as at least a part of the plasma generating unit 12.
  • a bias RF signal to at least one lower electrode, a bias potential is generated on the substrate W, and ion components in the formed plasma can be attracted to the substrate W.
  • the RF power supply 31 includes a first RF generating unit 31a and a second RF generating unit 31b.
  • the first RF generating unit 31a is coupled to at least one lower electrode and/or at least one upper electrode via at least one impedance matching circuit and configured to generate a source RF signal (source RF power) for plasma generation.
  • the source RF signal has a frequency in the range of 10 MHz to 150 MHz.
  • the first RF generating unit 31a may be configured to generate multiple source RF signals having different frequencies. The generated one or more source RF signals are supplied to at least one lower electrode and/or at least one upper electrode.
  • the second RF generator 31b is coupled to at least one lower electrode via at least one impedance matching circuit and configured to generate a bias RF signal (bias RF power).
  • the frequency of the bias RF signal may be the same as or different from the frequency of the source RF signal.
  • the bias RF signal has a frequency lower than the frequency of the source RF signal.
  • the bias RF signal has a frequency in the range of 100 kHz to 60 MHz.
  • the second RF generator 31b may be configured to generate multiple bias RF signals having different frequencies.
  • the generated one or more bias RF signals are provided to at least one lower electrode. Also, in various embodiments, at least one of the source RF signal and the bias RF signal may be pulsed.
  • the power supply 30 may also include a DC power supply 32 coupled to the plasma processing chamber 10.
  • the DC power supply 32 includes a first DC generator 32a and a second DC generator 32b.
  • the first DC generator 32a is connected to at least one lower electrode and configured to generate a first DC signal.
  • the generated first DC signal is applied to the at least one lower electrode.
  • the second DC generator 32b is connected to at least one upper electrode and configured to generate a second DC signal.
  • the generated second DC signal is applied to the at least one upper electrode.
  • the first and second DC signals may be pulsed.
  • a sequence of voltage pulses is applied to at least one lower electrode and/or at least one upper electrode.
  • the voltage pulses may have a rectangular, trapezoidal, triangular or combination thereof pulse waveform.
  • a waveform generator for generating a sequence of voltage pulses from the DC signal is connected between the first DC generator 32a and at least one lower electrode.
  • the first DC generator 32a and the waveform generator constitute a voltage pulse generator.
  • the second DC generator 32b and the waveform generator constitute a voltage pulse generator
  • the voltage pulse generator is connected to at least one upper electrode.
  • the voltage pulses may have a positive polarity or a negative polarity.
  • the sequence of voltage pulses may also include one or more positive polarity voltage pulses and one or more negative polarity voltage pulses within one period.
  • the first and second DC generating units 32a and 32b may be provided in addition to the RF power source 31, or the first DC generating unit 32a may be provided in place of the second RF generating unit 31b.
  • the exhaust system 40 may be connected to, for example, a gas exhaust port 10e provided at the bottom of the plasma processing chamber 10.
  • the exhaust system 40 may include a pressure regulating valve and a vacuum pump. The pressure in the plasma processing space 10s is adjusted by the pressure regulating valve.
  • the vacuum pump may include a turbomolecular pump, a dry pump, or a combination thereof.
  • ⁇ Configuration example of liquid processing system> 4 is a diagram for explaining an example of the configuration of a liquid processing system.
  • the liquid processing system includes a liquid processing apparatus 300 and a control unit 400.
  • the liquid processing system is an example of a substrate processing system
  • the liquid processing apparatus 300 is an example of a substrate processing apparatus.
  • the liquid processing apparatus 300 has a spin chuck 311 as a substrate support within a processing chamber 310.
  • the spin chuck 311 holds the substrate W horizontally.
  • the spin chuck 311 is connected to a rotating part 312 that can be raised and lowered, and the rotating part 312 is connected to a rotation drive part 313 constituted by a motor or the like.
  • the substrate W held by the spin chuck 311 can be rotated by driving the rotation drive part 313.
  • a cup 321 is placed on the outside of the spin chuck 311 to prevent processing liquid (resist liquid, developer, cleaning liquid, etc.) and mist of processing liquid from scattering around the cup 321.
  • a drain pipe 323 and an exhaust pipe 324 are provided at the bottom 322 of the cup 321.
  • the drain pipe 323 is connected to a drainage device 325 such as a drainage pump.
  • the exhaust pipe 324 is connected via a valve 326 to an exhaust device 327 such as an exhaust pump.
  • a blower 314 is provided at the top of the treatment chamber 310 of the liquid treatment device 300 to supply air of the required temperature and humidity as a downflow into the cup 321.
  • a processing liquid supply nozzle 331 When forming a puddle of processing liquid on the substrate W, a processing liquid supply nozzle 331 is used.
  • This processing liquid supply nozzle 331 is provided on a nozzle support 332, such as an arm, and the nozzle support 332 can be raised and lowered by a drive mechanism as indicated by the dashed reciprocating arrow A in the figure, and can also be moved horizontally as indicated by the dashed reciprocating arrow B.
  • Processing liquid (resist liquid, developer, etc.) is supplied to the processing liquid supply nozzle 331 from a processing liquid supply source 334 via a supply pipe 333.
  • a paddle of the processing liquid can be formed on the substrate W by scanning from one end to the other end.
  • the outlet is positioned above the center of the substrate W, and the processing liquid is ejected while the substrate W is rotating, thereby spreading the processing liquid over the entire surface of the substrate W and forming a paddle of the processing liquid on the substrate W.
  • the paddle of the processing liquid can also be formed by scanning a straight type nozzle over the substrate W in the same way as a long nozzle, or by arranging multiple outlets for ejecting liquid like a straight type nozzle over the substrate W and supplying the processing liquid from each outlet.
  • Gas nozzle 341 has nozzle body 342.
  • Nozzle body 342 is attached to a nozzle support such as an arm, and the nozzle support can be moved up and down by a drive mechanism as indicated by the dashed arrow C in the figure, and can also move horizontally as indicated by the dashed arrow D.
  • Gas nozzle 341 has two nozzle outlets 343, 344. Nozzle outlets 343, 344 are formed by branching off from gas flow path 345. Gas flow path 345 is connected to gas supply source 347 via gas supply pipe 346. In gas supply source 347, an inert gas or non-oxidizing gas, such as nitrogen gas, is prepared. When nitrogen gas, for example, is supplied from gas flow path 345 to gas nozzle 341, nitrogen gas is discharged from each of nozzle outlets 343, 344.
  • nitrogen gas for example, is supplied from gas flow path 345 to gas nozzle 341, nitrogen gas is discharged from each of nozzle outlets 343, 344.
  • the gas nozzle 341 is also provided with a cleaning liquid supply nozzle 351 that cleans the substrate W with the processing liquid after liquid processing.
  • the cleaning liquid supply nozzle 351 is connected to a cleaning liquid supply source 353 via a cleaning liquid supply pipe 352.
  • a cleaning liquid supply pipe 352 For example, pure water is used as the cleaning liquid.
  • the cleaning liquid supply nozzle 351 is located between the two nozzle outlets 343, 344 described above, but the position is not limited to this.
  • the cleaning liquid supply nozzle 351 may be configured independent of the gas nozzle 341.
  • the control unit 400 processes computer-executable instructions that cause the liquid treatment device 300 to perform the various steps described in this disclosure.
  • the control unit 400 may be configured to control each element of the liquid treatment device 300 to perform the various steps described herein. In one embodiment, some or all of the control unit 400 may be included in the liquid treatment device 300.
  • the control unit 400 is realized, for example, by a computer 400a.
  • the computer 400a may include a processing unit 400a1, a storage unit 400a2, and a communication interface 400a3.
  • Each component of the control unit 400 may be similar to each component of the control unit 200 (see FIG. 1) described above.
  • FIG. 5 is a flowchart showing a substrate processing method (hereinafter also referred to as "this processing method”) according to an exemplary embodiment.
  • This processing method includes a step ST1 of providing a substrate having an undercoat film, and a step ST2 of forming a metal-containing resist film on the undercoat film.
  • the formation process of the metal-containing resist film (hereinafter also referred to as "film formation process”) in the step ST2 is performed by a dry process (hereinafter also referred to as "dry film formation”) using a process gas.
  • the film formation process in the step ST2 is performed by a wet process (hereinafter also referred to as "wet film formation”) using a solution.
  • the film formation process in the step ST2 is performed using both wet film formation and dry film formation.
  • This processing method can include (a) a step of providing a substrate having an undercoat film (corresponding to "step ST1" described later), and (b) a step of forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound (corresponding to "step ST2" described later).
  • Each step may be performed using any one of the above-mentioned substrate processing systems (see Figures 1 to 4), or may be performed using two or more of these substrate processing systems.
  • this processing method may be performed in a heat treatment system (see Figure 1).
  • Step ST1 Providing a substrate
  • the substrate W is provided in the processing chamber 102 of the heat treatment apparatus 100.
  • the substrate W is provided on the substrate support 121 via the lift pins 123.
  • the temperature of the substrate support 121 is adjusted to a set temperature.
  • the set temperature may be, for example, 350° C. or less, and may be 25° C. or more and 350° C. or less.
  • the temperature of the substrate support 121 may be adjusted by controlling the output of one or more heaters among the sidewall heater 104, the stage heater 120, the ceiling heater 130, and the piping heater 160 (hereinafter collectively referred to as "each heater").
  • the temperature of the substrate support 121 may be adjusted to a set temperature before step ST1. That is, the substrate W may be provided to the substrate support 121 after the temperature of the substrate support 121 is adjusted to the set temperature.
  • the substrate W may be used in the manufacture of semiconductor devices.
  • the semiconductor devices include, for example, semiconductor memory devices such as DRAMs and 3D-NAND flash memories, and logic devices.
  • the substrate W has an undercoat film UF.
  • the undercoat film UF may be an organic film, a dielectric film, a metal film, or a semiconductor film, or a laminated film thereof, formed on a silicon wafer.
  • the undercoat film UF includes, for example, at least one selected from the group consisting of a silicon-containing film, a carbon-containing film, and a metal-containing film.
  • FIGS. 6 and 7 are diagrams showing an example of an undercoat film UF of a substrate W.
  • the undercoat film UF may be composed of a first film UF1, a second film UF2, and a third film UF3.
  • the undercoat film UF may be composed of a second film UF2 and a third film UF3.
  • the undercoat film UF may be subjected to a surface modification treatment.
  • the first film UF1 is, for example, a spin-on-glass (SOG) film, a SiC film, a SiON film, a Si-containing antireflective film (SiARC), or an organic film.
  • the second film UF2 is, for example, a spin-on-carbon (SOC) film, an amorphous carbon film, or a silicon-containing film.
  • the third film UF3 is, for example, a silicon-containing film.
  • the silicon-containing film is, for example, a silicon oxide film, a silicon nitride film, a silicon oxynitride film, a silicon carbonitride film, a polycrystalline silicon film, or a carbon-containing silicon film.
  • the third film UF3 may be composed of a plurality of types of stacked silicon-containing films.
  • the third film UF3 may be composed of a silicon oxide film and a silicon nitride film that are alternately stacked.
  • the third film UF3 may also be composed of a stacked silicon oxide film and a polycrystalline silicon film.
  • the third film UF3 may also be a stacked film including a silicon nitride film, a silicon oxide film, and a polycrystalline silicon film.
  • the third film UF3 may also be composed of a stacked silicon oxide film and a silicon carbonitride film.
  • the third film UF3 may also be a laminated film including a silicon oxide film, a silicon nitride film, and a silicon carbonitride film.
  • Part or all of the base film UF may be formed within the processing chamber 102 of the thermal processing apparatus 100, or may be formed using other systems, such as a plasma processing system (see Figures 2 and 3) or a liquid processing system (see Figure 4).
  • Step ST2 Formation of metal-containing resist film
  • a metal-containing resist film RM is formed on the undercoat film UF of the substrate W.
  • a metal-containing precursor having a photosensitive group and a polyfunctional compound can be used to form the metal-containing resist film RM on the undercoat film UF.
  • the photosensitive group means a group that can be eliminated by exposure due to the photosensitivity of an adjacent metal atom. Examples of the photosensitive group include a hydrogen atom and a hydrocarbon group g1 which may be substituted with a halogen or the like.
  • hydrocarbon group g1 which may be substituted include linear or branched alkyl groups such as a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, and a t-butyl group, and halogen-substituted alkyl groups such as -CH x F y (wherein x represents an integer of 0 to 2, and y represents an integer of 1 to 3).
  • FIG. 8 is a diagram showing an example of the cross-sectional structure of a substrate W on which a metal-containing resist film RM is formed in step ST2.
  • the metal-containing resist film RM is formed on the surface of the base film UF.
  • the metal-containing resist film RM is a film containing a metal.
  • the metal-containing resist film RM contains at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • the metal-containing resist film RM may contain Sn.
  • the metal-containing resist film RM contains a compound having a repeating unit represented by the following formula (1) in the molecule.
  • -(M-X-A-X)- (1) (In formula (1), M represents Sn, Ti, Hf, Zr or In, X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate or a polyisothiocyanate, and A represents a divalent organic group having 2 to 10 carbon atoms.)
  • the divalent group derived from the terminal of the polyalcohol contains an alkoxy bond.
  • the divalent group derived from the terminal of the polythiol contains a sulfide bond.
  • the divalent group derived from the terminal of the polycarboxylic acid contains an ester bond.
  • the divalent group derived from the terminal of the polyisocyanate contains a urethane bond.
  • the divalent group derived from the terminal of the polyisothiocyanate contains a thiourethane bond.
  • An example of a divalent organic group that can provide A in formula (1) includes an optionally substituted hydrocarbon group g2 having 2 to 10 carbon atoms.
  • An example of the optionally substituted hydrocarbon group g2 includes a linear, branched, or cyclic divalent hydrocarbon group.
  • An example of the linear, branched, or cyclic divalent hydrocarbon group may include a linear, branched, or cyclic alkylene group or arylene group.
  • at least one hydrogen atom in the molecule may be substituted with a halogen or the like.
  • the metal-containing precursor having a photosensitive group is n-butyltris(dimethylamino)tin
  • the polyfunctional compound is ethanedithiol. That is, in the example of Figure 9, n-butyltris(dimethylamino)tin reacts with ethanedithiol to obtain a compound having a repeating unit represented by -(Sn-S-CH 2 CH 2 -S)-.
  • the step ST2 can be performed by dry deposition.
  • the metal-containing precursor can include a compound ( ⁇ ) having an amine group and/or an alkoxy group;
  • the compound ( ⁇ ) may include a compound ( ⁇ 1) containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In;
  • the compound ( ⁇ 1) may contain Sn;
  • the photosensitive group in the metal-containing precursor can include at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and —CH x F y (wherein x represents an integer from 0 to 2, and y represents an integer from 1 to 3);
  • the polyfunctional compound can include at least one compound ( ⁇ ) selected from the group consisting of polyalcohols,
  • the formation of the metal-containing resist film RM in step ST2 may be performed using various methods such as atomic layer deposition (hereinafter referred to as "ALD") and CVD.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Various methods for forming the metal-containing resist film RM are described below.
  • a metal-containing resist film RM is formed by self-controllingly adsorbing and reacting a predetermined material on an undercoat film UF of a substrate W.
  • the step (b) can include a step of (b1) supplying a gas containing a metal-containing precursor onto the undercoat film to form a metal-containing precursor film, and a step of (b2) supplying a gas containing a polyfunctional compound to the metal-containing precursor film to form a metal-containing resist film from the metal-containing precursor film.
  • the steps (b1) and (b2) can be repeated multiple times.
  • FIG. 10 is a flow chart showing an example of process ST2 using the ALD method.
  • process ST2 using the ALD method includes a process ST211 of forming a metal-containing precursor film, a first purge process ST212, a process ST213 of forming a metal-containing film from the metal-containing precursor film, a second purge process ST214, and a determination process ST215.
  • the first purge process ST212 and the second purge process ST214 may or may not be performed.
  • FIG. 11 is a schematic diagram showing an example of a phenomenon that occurs on the surface of the substrate W in process ST21 using the ALD method.
  • a first gas G1 containing a metal-containing precursor is supplied to the surface of the undercoat film UF to form a metal-containing precursor film PF.
  • the metal-containing precursor contains a compound ( ⁇ ) having an amine group and/or an alkoxy group.
  • the amine group is a monovalent group represented by -NR a R b , where R a and R b each independently represent an alkyl group having 1 to 2 carbon atoms. Examples of the amine group include a dimethylamino group, a diethylamino group, an ethylmethylamino group, and the like.
  • the alkoxy group examples include a methoxy group, an ethoxy group, an n-propoxy group, an n-butoxy group, an i-propoxy group, a t-butoxy group, and the like.
  • the compound ( ⁇ ) may contain a compound ( ⁇ 1) containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • the compound ( ⁇ 1) may contain Sn.
  • the photosensitive group in the metal-containing precursor may include at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and a -CH x F y group (wherein x is an integer from 0 to 2, and y is an integer from 1 to 3).
  • the metal-containing precursor may include at least one compound selected from the group consisting of an aminotin compound, an aminotitanium compound, an aminohafnium compound, an aminozirconium compound, and an aminoindium compound.
  • aminotin compounds may include n-butyltris(dimethylamino)tin, t-butyltris(dimethylamino)tin, bis(dimethylamino)dimethyltin, bis(dimethyl)dibutyltin, azidotrimethyltin, bis(dimethylamino)dibutyltin, and the like.
  • alkoxytin compounds may include bis(tert-butoxide)dimethyltin, bis(dimethoxy)dimethyltin.
  • the photosensitive group in the metal-containing precursor may include at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and a —CH x F y (wherein x is an integer of 0 to 2, and y is an integer of 1 to 3).
  • a first gas G1 is supplied into the processing chamber 102 via a gas nozzle 141. Then, in the processing chamber 102, the metal-containing precursor of the first gas G1 is adsorbed onto the surface of the undercoat film UF to form a metal-containing precursor film PF.
  • the metal-containing precursor film PF may contain, for example, Sn, Ti, Hf, Zr, In, etc.
  • the metal-containing precursor film PF may be a metal complex.
  • the metal complex may contain, for example, aminotin.
  • step ST212 the gas in the processing chamber 102 is exhausted from the exhaust port 131 by the exhaust mechanism 132.
  • an inert gas or the like may be supplied to the substrate W. This allows excess gas such as metal-containing precursors to be purged.
  • An example of the inert gas is a noble gas such as He, Ar, Ne, Kr, or Xe, or nitrogen gas.
  • a second gas G2 containing a polyfunctional compound is supplied to the surface of the substrate W, and the second gas G2 reacts with the metal-containing precursor film PF to form a metal-containing resist film from the metal-containing precursor film PF.
  • the second gas G2 is a gas that reacts with the metal-containing precursor adsorbed on the surface of the undercoat film UF. Water and hydrogen peroxide are not included in the polyfunctional compound.
  • a compound having two or more valences (having two or more functional groups) may be used as the polyfunctional compound.
  • the polyfunctional compound may be divalent or may be trivalent or more.
  • the polyfunctional compound may include at least one compound ( ⁇ ) selected from the group consisting of polyalcohol, polythiol, polycarboxylic acid, polyisocyanate, and polyisothiocyanate.
  • polyalcohol may include ethylene glycol, glycerin, and the like.
  • polythiol may include ethanedithiol, toluenedithiol, and the like.
  • polycarboxylic acids may include glutaric acid, adipic acid, terephthalic acid (solution), etc.
  • polyisocyanates may include toluene diisocyanate, etc.
  • a second gas G2 is supplied into the processing chamber 102 through a gas nozzle 141.
  • the second gas G2 may include at least one selected from the group consisting of H 2 O gas, H 2 O 2 , O 3 , and O 2.
  • at least one selected from the group consisting of H 2 O gas, H 2 O 2 , O 3 , and O 2 is supplied into the processing chamber 102 as a gas different from the second gas G2. Then, the second gas G2 reacts with the metal-containing precursor film PF in the processing chamber 102 to form a metal-containing resist film.
  • step ST214 the gas in the processing chamber 102 is exhausted from the exhaust port 131 by the exhaust mechanism 132. At this time, an inert gas or the like may be supplied to the substrate W. This allows excess gas such as the second gas G2 to be purged.
  • step ST215 it is determined whether a given condition for ending step ST21 is satisfied.
  • the given condition may be that a cycle of steps ST211 to ST214 has been performed a preset number of times. The number of times may be once, less than five times, five or more times, or ten or more times.
  • step ST215 if it is determined that the given condition is not satisfied, the process returns to step ST211, and if it is determined that the given condition is satisfied, step ST21 is terminated.
  • the given condition may be a condition regarding the dimensions of the metal-containing resist film after step ST214.
  • step ST214 it is determined whether the dimensions of the metal-containing resist film (resist film thickness) have reached a given value or range, and the cycle of steps ST211 to ST214 may be repeated until the given value or range is reached.
  • the dimensions of the metal-containing resist film may be measured by an optical measuring device. In this manner, a metal-containing resist film is formed on the undercoat film UF.
  • a mixed gas GM containing a metal-containing precursor and a polyfunctional compound is used to form a metal-containing resist film.
  • the metal-containing precursor may be a known metal-containing precursor (such as a silicon-containing compound in an example containing Si) that can be used in the CVD method, or may be a metal-containing precursor described in the ALD method.
  • the polyfunctional compound may be a polyfunctional compound described in the ALD method.
  • the mixed gas GM may contain at least one selected from the group consisting of H 2 O, H 2 O 2 , O 3 , and O 2.
  • the mixed gas GM is supplied into the processing chamber 102 through the gas nozzle 141. The mixed gas GM undergoes a chemical reaction on the substrate W, thereby forming a metal-containing resist film on the undercoat film UF.
  • the temperature and pressure of the substrate support part 121 may be set appropriately.
  • the temperature of the substrate support part 121 may be adjusted by controlling the output of one or more of the heaters.
  • the temperature of the substrate support part 121 may be, for example, 25 to 350°C, and in one example, 50 to 200°C.
  • the pressure inside the processing chamber 102 may be, for example, 500 Torr or less.
  • step ST2 may include a step of heating and baking the metal-containing resist film.
  • the baking may be performed in an air atmosphere or an inert atmosphere.
  • the baking may be performed by heating the substrate W to 50° C. or more and 350° C. or less, 50° C. or more and 200° C. or less, or 80° C. or more and 150° C. or less.
  • each heater of the heat treatment apparatus 100 may function as a heating unit that performs baking.
  • the baking may be performed using a heat treatment system other than the heat treatment apparatus 100.
  • the processing method may be performed by a dry process using a plasma processing system (see Figures 2 and 3).
  • a substrate W may be provided on a substrate support 11 in a processing chamber 10 of a plasma processing apparatus 1 (step ST1), and a processing gas may be supplied from a gas supply unit 20 into the processing chamber 10 to form a metal-containing resist film RM (step ST2).
  • step ST2 When a plasma processing system is used, the above-mentioned ALD method or CVD method may be used in step ST2.
  • the composition (type, flow rate, and flow rate ratio) of the processing gas (first gas G1, second gas G2, mixed gas GM, etc.) in step ST2 and the temperature of the substrate support part 11 may be the same as in the case of using a heat processing system.
  • the temperature of the substrate support part 11 may be adjusted by controlling the pressure of the heat transfer gas (e.g., He) between the temperature control module or the electrostatic chuck 1111 and the rear surface of the substrate W.
  • plasma may be generated from the processing gas, or plasma may not be generated.
  • step ST21 and/or step ST22 may include a step of heating the substrate W to perform a bake process.
  • the bake process may be performed, for example, using a heat processing system.
  • the present processing method may be performed by a wet process (wet film formation) using a liquid processing system (see FIG. 4). That is, a substrate W may be provided on a spin chuck 311 in a processing chamber 310 of a liquid processing apparatus 300 (step ST1), and a film formation solution (resist precursor liquid) may be applied onto the substrate W from a processing liquid supply nozzle 331 to form a metal-containing resist film RM (step ST2).
  • a wet process wet film formation
  • a liquid processing system see FIG. 4
  • step (b) when step ST2 is performed by wet film formation, for example:
  • the step (b) includes applying a solution containing a metal-containing precursor and a polyfunctional compound onto the undercoat film, and heating the applied solution;
  • the metal-containing precursor comprises a metal complex comprising at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In;
  • the polyfunctional compound comprises at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • the film-forming solution may contain a metal-containing precursor.
  • the metal-containing precursor contains a compound ( ⁇ ) having an amine group.
  • the compound ( ⁇ ) may contain a compound ( ⁇ 1) containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • the compound ( ⁇ 1) may contain Sn.
  • the photosensitive group in the metal-containing precursor may contain at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and -CH x F y (wherein x represents an integer of 0 to 2, and y represents an integer of 1 to 3).
  • the metal-containing precursor contains at least one compound selected from the group consisting of an aminotin compound, an aminotitanium compound, an aminohafnium compound, an aminozirconium compound, and an aminoindium compound.
  • amino tin compounds may include n-butyl tris(dimethylamino)tin, t-butyl tris(dimethylamino)tin, bis(dimethylamino)dimethyltin, bis(dimethyl)dibutyltin, azidotrimethyltin, bis(dimethylamino)dibutyltin, etc.
  • alkoxy tin compounds may include bis(tert-butoxide)dimethyltin, bis(dimethoxy)dimethyltin.
  • the film-forming solution may contain a polyfunctional compound.
  • the polyfunctional compound may contain at least one compound ( ⁇ ) selected from the group consisting of polyalcohol, polythiol, polycarboxylic acid, polyisocyanate, and polyisothiocyanate.
  • polyalcohols may include ethylene glycol, glycerin, and the like.
  • polythiols may include ethanedithiol, toluenedithiol, and the like.
  • polycarboxylic acids may include glutaric acid, adipic acid, terephthalic acid (solution), and the like.
  • polyisocyanates may include toluene diisocyanate, and the like.
  • polyisothiocyanates may include butane diisothiocyanate, phenylene bis(isothiocyanate), and the like.
  • the second gas G2 may include at least one selected from the group consisting of H 2 O, H 2 O 2 , O 3 , and O 2 .
  • step ST2 may include a step of heating and baking the substrate W after the solution has been applied to the substrate W.
  • the baking may be performed, for example, using a heat treatment system (see FIG. 1).
  • the baking may be performed in an air atmosphere or in an inert atmosphere.
  • the baking may be performed by heating the substrate W to 50° C. or more and 350° C. or less, 50° C. or more and 200° C. or less, or 80° C. or more and 150° C. or less.
  • the deposition of the metal-containing resist film RM (step ST2) in this processing method may be performed by both a dry process using a heat treatment system (see FIG. 1) or a plasma processing system (see FIG. 2 and FIG. 3), and a wet process using a liquid processing system (see FIG. 4).
  • the reaction between the metal-containing precursor and the polyfunctional compound in step ST2 results in the metal-containing precursors being bonded to each other via a structure derived from the polyfunctional compound, and the metal composition ratio and film density in the metal-containing resist film are reduced.
  • the metal composition ratio and film density are reduced, in the subsequent development, the reaction tends to proceed sufficiently even when using not only highly reactive substances (e.g., highly corrosive substances such as hydrogen chloride, boron chloride, and hydrogen bromide), but also, for example, an organic acid described below, and a metal-containing resist film is formed.
  • the metal-containing precursors are bonded to each other via a structure derived from the polyfunctional compound, and a chemical structure with a relatively weak bond strength such as -Sn-X-A-X-Sn- (where X and A are the same as those in formula (1) above) is obtained compared to conventional bonds such as -Sn-O-Sn-, and the film density is reduced, and the reactivity tends to be improved in the subsequent development.
  • the type of polyfunctional compound used can be changed over time during the reaction between the metal-containing precursor and the polyfunctional compound in step ST2. As a specific example, in the early stage of the reaction (from the start of the reaction to the first elapsed time), a polyfunctional compound having a first carbon number is used.
  • a polyfunctional compound having a second carbon number greater than the first carbon number is used in the middle stage of the reaction. Furthermore, in the later stage of the reaction (from the second elapsed time to the end of the reaction), a polyfunctional compound having a third carbon number greater than the second carbon number is used.
  • a metal-containing resist film having a composition and/or density gradient in the film formation direction a metal-containing resist film in which the lower layer side is high density (high metal composition ratio) and the upper layer side is low density (low metal composition ratio) is obtained. From the same viewpoint, as another specific example, in the early stage of the reaction, a polyfunctional compound having a first functionality is used in the early stage of the reaction.
  • a polyfunctional compound having a second functionality less than the first functionality is used. Furthermore, in the later stage of the reaction, a polyfunctional compound having a third functionality less than the second functionality is used. Even in such an example, a metal-containing resist film having a density gradient in the film formation direction (a metal-containing resist film in which the lower layer side has a high density (high metal composition ratio) and the upper layer side has a low density (low metal composition ratio)) is obtained.
  • the processing method can further include, after steps (c) and (b), a step of exposing the substrate to light to form an exposed first region and an unexposed second region in the metal-containing resist film, and a step (d) of developing the substrate to selectively remove the second region from the metal-containing resist film.
  • the second region can be removed by a developing gas or a developing solution.
  • the developing gas or the developing solution can include an organic acid.
  • the organic acid can include at least one selected from the group consisting of alcohol, thiol, carboxylic acid, sulfonic acid, ⁇ -dicarbonyl compound, alkyl carbonate, and azole, and when these organic acids are employed, the contrast of development tends to be improved.
  • the alcohol can include nonafluoro-tert-butyl alcohol ((CF 3 ) 3 C—OH), trifluoroethanol, and the like.
  • Examples of the thiol can include methanethiol, allyl mercaptan, -trifluoroethanethiol, and the like.
  • Examples of carboxylic acids may include formic acid (HCOOH), acetic acid (CH 3 COOH), trichloroacetic acid (CCl 3 COOH), monofluoroacetic acid (CFH 2 COOH), difluoroacetic acid (CF 2 FCOOH), trifluoroacetic acid (CF 3 COOH) chloro-difluoroacetic acid (CCIF 2 COOH), sulfur-containing acetic acid, thioacetic acid (CH 3 COSH), thioglycolic acid (HSCH 2 COOH), trifluoroacetic anhydride ((CF 3 CO) 2 O), acetic anhydride ((CH 3 CO) 2 O), etc.
  • HCOOH formic acid
  • acetic acid CH 3 COOH
  • CCl 3 COOH trichloroacetic acid
  • Examples of sulfonic acids may include methanesulfonic acid, fluorosulfonic acid, 10-camphorsulfonic acid, etc.
  • Examples of ⁇ -dicarbonyl compounds may include acetylacetone (CH 3 C(O)CH 2 C(O)CH 3 ), trichloroacetylacetone (CCl 3 C(O)CH 2 C(O)CH 3 ), hexachloroacetylacetone (CCl 3 C(O)CH 2 C(O)CCl 3 ), trifluoroacetylacetone (CF 3 C(O)CH 2 C(O)CH 3 ), hexafluoroacetylacetone (HFAc, CF 3 C(O)CH 2 C(O)CF 3 ), and the like.
  • the developing gas or developing solution may include an inorganic acid.
  • the developing gas may include a halogen-containing gas.
  • the halogen-containing gas may be a gas containing a halogen-containing inorganic acid, and may be a gas containing Br or Cl.
  • the gas containing a halogen-containing inorganic acid is at least one selected from the group consisting of HBr gas, BCl 3 gas, HCl gas, HF gas, and HI gas.
  • the process gas is a mixed gas of a carboxylic acid and a hydrogen halide, or a mixed gas of acetic acid and formic acid.
  • the developer may be a liquid containing the above-mentioned halogen-containing inorganic acid.
  • the second region can be removed by a developing gas or developing solution containing a weak acid.
  • the weak acid can include an organic acid having an acid dissociation constant (pKa) of less than 16.
  • an organic acid having an acid dissociation constant (pKa) of less than 16 can be selected from the organic acids described above and used.
  • the weak acid can include an organic acid having an acid dissociation constant (pKa) of 0 or more and less than 16.
  • an organic acid having an acid dissociation constant (pKa) of 0 or more and less than 16 can be selected and used from the organic acids described above and used.
  • the present processing method can use a metal-containing resist-forming composition that includes a metal-containing precursor having a photosensitive group and a polyfunctional compound, the metal-containing precursor including a compound having a photosensitive group, an amine group, and/or an alkoxy group.
  • the metal-containing precursor and the polyfunctional compound in the metal-containing resist-forming composition can be the same as those described in step ST2.
  • the metal-containing resist-forming composition can be a gas mixture of a gas containing a metal-containing precursor and a gas containing a polyfunctional compound.
  • the metal-containing resist-forming composition can be a liquid mixture of a liquid containing a metal-containing precursor and a liquid containing a polyfunctional compound.
  • the metal-containing resist film obtained by the present processing method may contain the following metal-containing resist: That is, in one embodiment, the metal-containing resist contains a compound having a repeating unit represented by the following formula (1) in the molecule. -(M-X-A-X)- (1)
  • M represents Sn, Ti, Hf, Zr, or In
  • X represents a divalent group derived from an end of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate, or a polyisothiocyanate
  • A represents a divalent organic group having 2 to 10 carbon atoms.
  • the polyalcohol, polythiol, polycarboxylic acid, polyisocyanate, and polyisothiocyanate that can provide X in formula (1) may be the same as those described in step ST2.
  • the divalent group derived from the terminal of the polyalcohol contains an alkoxy bond.
  • the divalent group derived from the terminal of the polythiol contains a sulfide bond.
  • the divalent group derived from the terminal of the polycarboxylic acid contains an ester bond.
  • the divalent group derived from the terminal of the polyisocyanate contains a urethane bond.
  • the divalent group derived from the terminal of the polyisothiocyanate contains a thiourethane bond.
  • Examples of divalent organic groups that can provide A in formula (1) include optionally substituted hydrocarbon groups g2 having 2 to 10 carbon atoms.
  • Examples of optionally substituted hydrocarbon groups g2 include linear, branched, or cyclic divalent hydrocarbon groups.
  • Examples of linear, branched, or cyclic divalent hydrocarbon groups may include linear, branched, or cyclic alkylene groups and arylene groups. The linear, branched, or cyclic alkylene groups and arylene groups may each have at least one hydrogen atom in the molecule substituted with a halogen or the like.
  • ⁇ Configuration example of substrate processing system> 12 is a block diagram for explaining a configuration example of a substrate processing system SS according to an exemplary embodiment.
  • the substrate processing system SS includes a first carrier station CS1, a first processing station PS1, a first interface station IS1, an exposure apparatus EX, a second interface station IS2, a second processing station PS2, a second carrier station CS2, and a controller CT.
  • the first carrier station CS1 transports the first carrier C1 between the first carrier station CS1 and a system external to the substrate processing system SS.
  • the first carrier station CS1 has a mounting table including a plurality of first mounting plates ST1.
  • the first carrier C1 which may contain a plurality of substrates W or be empty, is mounted on each first mounting plate ST1.
  • the first carrier C1 has a housing capable of housing a plurality of substrates W therein.
  • the first carrier C1 is a FOUP (Front Opening Unified Pod).
  • the first carrier station CS1 also transports the substrate W between the first carrier C1 and the first processing station PS1.
  • the first carrier station CS1 further includes a first transport device HD1.
  • the first transport device HD1 is provided in the first carrier station CS1 so as to be located between the mounting table and the first processing station PS1.
  • the first transport device HD1 transports and transfers the substrate W between the first carrier C1 on each first mounting plate ST1 and the second transport device HD2 of the first processing station PS1.
  • the substrate processing system SS may further include a load lock module.
  • the load lock module may be provided between the first carrier station CS1 and the first processing station PS1.
  • the load lock module can switch its internal pressure to atmospheric pressure or vacuum. "Atmospheric pressure" may be the pressure inside the first transport device HD1.
  • “Vacuum” refers to a pressure lower than atmospheric pressure, and may be, for example, a medium vacuum of 0.1 Pa to 100 Pa.
  • the interior of the second transport device HD2 may be atmospheric pressure or a vacuum.
  • the load lock module may, for example, transport a substrate W from the first transport device HD1, which is at atmospheric pressure, to the second transport device HD2, which is at vacuum, and also transport a substrate W from the second transport device HD2, which is at vacuum, to the first transport device HD1, which is at atmospheric pressure.
  • the first processing station PS1 performs various processes on the substrate W.
  • the first processing station PS1 includes a pre-processing module PM1, a resist film forming module PM2, and a first heat treatment module PM3 (hereinafter collectively referred to as the "first substrate processing module PMa").
  • the first processing station PS1 also has a second transport device HD2 that transports the substrate W.
  • the second transport device HD2 transports and transfers the substrate W between two designated first substrate processing modules PMa, and between the first processing station PS1 and the first carrier station CS1 or the first interface station IS1.
  • the substrate W is subjected to pre-treatment.
  • the pre-treatment module PM1 includes a temperature adjustment unit that adjusts the temperature of the substrate W, a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision, and a base film formation unit that forms part or all of a base film on the substrate W.
  • the pre-treatment module PM1 includes a surface modification unit that performs a surface modification process on the substrate W.
  • Each processing unit of the pre-treatment module PM1 may include a heat treatment device 100 (see FIG. 1), a plasma treatment device 1 (see FIG. 2 and FIG. 3), and/or a liquid treatment device 300 (see FIG. 4).
  • the resist film forming module PM2 includes a dry coating unit.
  • the dry coating unit forms a resist film on the substrate W using a dry process such as a vapor phase deposition method.
  • the dry coating unit includes a CVD apparatus or an ALD apparatus that performs chemical vapor deposition of a resist film on the substrate W arranged in a chamber, or a PVD apparatus that performs physical vapor deposition of a resist film.
  • the dry coating unit may be a heat treatment apparatus 100 (see FIG. 1) or a plasma treatment apparatus 1 (see FIG. 2 and FIG. 3).
  • the resist film forming module PM2 includes a wet coating unit.
  • the wet coating unit forms a resist film on the substrate W using a wet process such as liquid phase deposition.
  • the wet coating unit may be a liquid processing device 300 (see FIG. 4).
  • an example of the resist film forming module PM2 includes both a wet coating unit and a dry coating unit.
  • the substrate W is subjected to heat treatment in the first heat treatment module PM3.
  • the first heat treatment module PM3 includes one or more of a pre-bake (Post Apply Bake: PAB) unit that performs heat treatment on the substrate W on which a resist film has been formed, a temperature adjustment unit that adjusts the temperature of the substrate W, and a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • a pre-bake (Post Apply Bake: PAB) unit that performs heat treatment on the substrate W on which a resist film has been formed
  • a temperature adjustment unit that adjusts the temperature of the substrate W
  • a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • Each of these units may have one or more heat treatment devices.
  • the multiple heat treatment devices may be stacked.
  • the heat treatment device may be, for example, heat treatment device 100 (see FIG. 1).
  • Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the first interface station IS1 has a third transport device HD3.
  • the third transport device HD3 transports and transfers the substrate W between the first processing station PS1 and the exposure device EX.
  • the third transport device HD3 has a housing that houses the substrate W, and may be configured so that the temperature, humidity, pressure, etc. within the housing can be controlled.
  • the exposure apparatus EX exposes the resist film on the substrate W using an exposure mask (reticle).
  • the exposure apparatus EX may be, for example, an EUV exposure apparatus having a light source that generates EUV light.
  • the second interface station IS2 has a fourth transport device HD4.
  • the fourth transport device HD4 transports and transfers substrates W between the exposure device EX and the second processing station PS2.
  • the fourth transport device HD4 has a housing that houses the substrates W, and may be configured so that the temperature, humidity, pressure, etc. within the housing can be controlled.
  • the second processing station PS2 performs various processes on the substrate W.
  • the second processing station PS2 includes a second heat treatment module PM4, a measurement module PM5, a development module PM6, and a third heat treatment module PM7 (hereinafter collectively referred to as the "second substrate processing module PMb").
  • the second processing station PS2 also has a fifth transport device HD5 that transports the substrate W.
  • the fifth transport device HD5 transports and transfers the substrate W between two designated second substrate processing modules PMb, and between the second processing station PS2 and the second carrier station CS2 or the second interface station IS2.
  • the substrate W is subjected to a thermal treatment in the second thermal treatment module PM4.
  • the thermal treatment module PM4 includes one or more of a post-exposure bake (PEB) unit that heat-treats the substrate W after exposure, a temperature adjustment unit that adjusts the temperature of the substrate W, and a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • PEB post-exposure bake
  • Each of these units may have one or more thermal treatment devices.
  • the multiple thermal treatment devices may be stacked.
  • the thermal treatment device may be, for example, the thermal treatment device 100 (see FIG. 1).
  • Each thermal treatment may be performed at a predetermined temperature using a predetermined gas.
  • the measurement module PM5 includes an imaging unit including a mounting stage for mounting the substrate W, an imaging device, a lighting device, and various sensors (temperature sensor, reflectance measurement sensor, etc.).
  • the imaging device may be, for example, a CCD camera that captures an image of the exterior of the substrate W.
  • the imaging device may be a hyperspectral camera that captures images by dispersing light into wavelengths. The hyperspectral camera may measure one or more of the pattern shape, dimensions, film thickness, composition, and film density of the resist film.
  • the substrate W is subjected to a developing process.
  • the developing module PM6 includes a dry developing unit that performs dry developing on the substrate W.
  • the dry developing unit may be, for example, the thermal processing apparatus 100 (see FIG. 1) or the plasma processing apparatus 1 (see FIG. 2 and FIG. 3).
  • the developing module PM6 includes a wet developing unit that performs wet developing on the substrate W.
  • the wet developing unit may be, for example, the liquid processing apparatus 300 (FIG. 4).
  • the developing module PM6 includes both a dry developing unit and a wet developing unit.
  • the substrate W is subjected to heat treatment in the third heat treatment module PM7.
  • the third heat treatment module PM7 includes one or more of a post bake (PB) unit that heat treats the substrate W after development, a temperature adjustment unit that adjusts the temperature of the substrate W, and a high-precision temperature adjustment unit that adjusts the temperature of the substrate W with high precision.
  • PB post bake
  • Each of these units may have one or more heat treatment devices.
  • the multiple heat treatment devices may be stacked.
  • the heat treatment device may be, for example, heat treatment device 100 (see FIG. 1). Each heat treatment may be performed at a predetermined temperature using a predetermined gas.
  • the second carrier station CS2 transports the second carrier C2 between the second carrier station CS2 and a system external to the substrate processing system SS.
  • the configuration and functions of the second carrier station CS2 may be similar to those of the first carrier station CS1 described above.
  • the control unit CT controls each component of the substrate processing system SS to perform a given process on the substrate W.
  • the control unit CT stores a recipe in which the process procedure, process conditions, transport conditions, etc. are set, and controls each component of the substrate processing system SS to perform a given process on the substrate W according to the recipe.
  • the control unit CT may perform some or all of the functions of each control unit (control unit 200, control unit 2, and control unit 400 shown in Figures 1 to 4).
  • FIG. 13 is a flowchart showing a substrate processing method (hereinafter also referred to as "method MT") according to an exemplary embodiment.
  • the method MT includes a process ST100 of performing a pre-treatment on a substrate, a process ST200 of forming a resist film on the substrate, a process ST300 of performing a heat treatment (pre-bake: PAB) on the substrate on which the resist film has been formed, a process ST400 of performing EUV exposure on the substrate, a process ST500 of performing a heat treatment (post-exposure bake: PEB) on the substrate after exposure, a process ST600 of measuring the substrate, a process ST700 of developing the resist film on the substrate, a process ST800 of performing a heat treatment (post-bake: PB) on the substrate after development, and a process ST900 of etching the substrate.
  • the method MT may not include one or more of the above steps.
  • the method MT may not include the process
  • the method MT may be performed using a substrate processing system SS shown in FIG. 12.
  • a control unit CT of the substrate processing system SS controls each part of the substrate processing system SS to perform the method MT on a substrate W.
  • Step ST100 Pretreatment
  • a first carrier C1 accommodating a plurality of substrates W is loaded into a first carrier station CS1 of a substrate processing system SS.
  • the first carrier C1 is placed on a first placement plate ST1.
  • the first transport device HD1 sequentially takes out each substrate W from the first carrier C1 and transfers it to a second transport device HD2 of a first processing station PS1.
  • the substrate W is transported to a pre-processing module PM1 by the second transport device HD2.
  • the pre-processing module PM1 performs pre-processing on the substrate W.
  • the pre-processing may include, for example, one or more of temperature adjustment of the substrate W, formation of a part or all of an undercoat film on the substrate W, heating treatment of the substrate W, and high-precision temperature adjustment of the substrate W.
  • the pre-processing may include a surface modification treatment of the substrate W.
  • Step ST200 Forming a resist film
  • the substrate W is transported to the resist film forming module PM2 by the second transport device HD2.
  • a resist film is formed on the substrate W by the resist film forming module PM2.
  • the resist film is formed by a wet process such as a liquid phase deposition method.
  • a resist film is formed by spin-coating a resist film on the substrate W using a wet coating unit of the resist film forming module PM2.
  • the resist film is formed on the substrate W by a dry process such as a vapor phase deposition method.
  • a resist film is formed by vapor-depositing a resist film on the substrate W using a dry coating unit of the resist film forming module PM2.
  • the resist film in the process ST200 may be formed by using the present processing method (see FIG. 5). That is, a metal-containing resist film RM may be formed on the substrate W.
  • the formation of a resist film on the substrate W may be performed using both a dry process and a wet process.
  • a second resist film may be formed on the first resist film by a wet process.
  • the film thickness, material and/or composition of the first resist film and the second resist film may be the same or different.
  • Step ST300 Next, the substrate W is transported by the second transport device HD2 to the first thermal treatment module PM3.
  • the substrate W is subjected to a heat treatment (pre-baking: PAB) by the first thermal treatment module PM3.
  • the pre-baking may be performed in an air atmosphere or an inert atmosphere.
  • the pre-baking may be performed by heating the substrate W to 50° C. or more or 80° C. or more.
  • the heating temperature of the substrate W may be 250° C. or less, 200° C. or less, or 150° C. or less. In one example, the heating temperature of the substrate may be 50° C. or more and 250° C. or less.
  • the pre-baking may be performed continuously in the dry coating unit that performed the process ST200.
  • a process Edge Bead Removal: EBR
  • EBR Error Bead Removal
  • Step ST400 EUV exposure
  • the substrate W is transferred by the second transport device HD2 to the third transport device HD3 of the first interface station IS1.
  • the substrate W is then transported by the third transport device HD3 to the exposure device EX.
  • the substrate W is EUV exposed through an exposure mask (reticle) in the exposure device EX.
  • EUV has a wavelength in the range of 10 to 20 nm, for example.
  • EUV may have a wavelength in the range of 11 to 14 nm, and in one example has a wavelength of 13.5 nm.
  • a first region that is EUV exposed and a second region that is not EUV exposed are formed on the substrate W in accordance with the pattern of the exposure mask (reticle).
  • the film thickness of the first region may be smaller than the film thickness of the second region 2.
  • the substrate W is transferred from the fourth transport device HD4 of the second interface station IS2 to the fifth transport device HD5 of the second processing station PS2.
  • the substrate W is then transported by the fifth transport device HD5 to the second thermal treatment module PM4.
  • the substrate W is then subjected to a heating process (post-exposure bake: PEB) in the second thermal treatment module PM4.
  • the post-exposure bake may be performed in an air atmosphere.
  • the post-exposure bake may be performed by heating the substrate W to a temperature of 180° C. or higher and 250° C. or lower.
  • Step ST600 Measurement
  • the substrate W is transported to the measurement module PM5 by the fifth transport device HD5.
  • the measurement module PM5 measures the substrate W.
  • the measurement may be an optical measurement or another measurement.
  • the measurement by the measurement module PM5 includes measurement of the appearance and/or dimensions of the substrate W using a CCD camera.
  • the measurement by the measurement module PM5 includes measurement of one or more of the pattern shape, dimensions, film thickness, composition, and film density of the resist film (hereinafter also referred to as "pattern shape, etc.”) using a hyperspectral camera.
  • the control unit CT determines whether or not there is an exposure abnormality in the substrate W based on the measured appearance and dimensions of the substrate W and/or the pattern shape, etc. In one embodiment, if the control unit CT determines that there is an exposure abnormality, the substrate W may be reworked or discarded without being developed by process ST700. Reworking of the substrate W may be performed by removing the resist on the substrate W and returning to process ST200 to form a resist film again. Reworking after development may cause damage to the substrate W, but by performing reworking before development, damage to the substrate W can be avoided or suppressed.
  • Step ST700 Development
  • the substrate W is transported to the developing module PM6 by the fifth transport device HD5.
  • the developing module PM6 the resist film of the substrate W is developed. Either the first region exposed to EUV or the second region not exposed to EUV is selectively removed by the development.
  • the development process may be performed by dry development or wet development.
  • the development process may be performed by combining dry development and wet development.
  • a desorption process may be performed one or more times.
  • the desorption process includes descumming or smoothing the surface of the resist film by using an inert gas such as helium or a plasma of the inert gas.
  • the development process may be performed by heating the substrate W to 100° C. or more and 350° C. or less.
  • the dry development process may be performed by setting the pressure to 10 Torr or less.
  • the development process may be performed for 0.5 minutes to 2 hours.
  • the present processing method can also be carried out as a part of a developing method. That is, in one embodiment, the developing method includes the steps of (a) providing a substrate having an undercoat film and a metal-containing resist on the undercoat film, (b) exposing the metal-containing resist through an exposure mask to form an exposed first region and an unexposed second region in the metal-containing resist, and (c) selectively removing one of the first region and the second region, and the metal-containing resist in the step (a) includes a compound having a repeating unit represented by the above formula (1) in its molecule.
  • the substrate W is transported by the fifth transport device HD5 to the third thermal treatment module PM7, where it is subjected to a heat treatment (post-bake).
  • the post-bake may be performed in an air atmosphere, or in a reduced pressure atmosphere containing N2 or O2 .
  • the post-bake may be performed by heating the substrate W to 150°C or more and 250°C or less.
  • the post-bake may be performed in the second thermal treatment module PM4 instead of the third thermal treatment module PM7.
  • the substrate W may be optically measured by the measurement module PM4PM5. Such a measurement may be performed in addition to or instead of the measurement in the process ST600.
  • the controller CT judges the presence or absence of anomalies such as defects, scratches, and foreign matter adhesion in the developed pattern of the substrate W based on the measured appearance, dimensions, and/or pattern shape of the substrate W.
  • the substrate W may be reworked or discarded without performing etching in step ST900.
  • the opening dimension of the resist film of the substrate W may be adjusted using a dry coating unit (such as a CVD apparatus or an ALD apparatus).
  • Step ST900 Etching
  • the substrate W is transferred to the sixth transport device HD6 of the second carrier station CS2 by the fifth transport device HD5, and is transported to the second carrier C2 of the second placement plate ST2 by the sixth transport device HD6.
  • the second carrier C2 is then transported to a plasma processing system (not shown).
  • the plasma processing system may be, for example, the plasma processing system shown in FIG. 2 and FIG. 3.
  • the undercoat film UF of the substrate W is etched using the developed resist film as a mask. This completes the method MT. Note that in the process ST700, when the resist film is developed using a plasma processing device, etching may be performed subsequently in a plasma processing chamber of the plasma processing device.
  • the present processing method may also be performed as a part of an etching method. That is, in one embodiment, the method includes the steps of: (a) providing a substrate having an undercoat film and a metal-containing resist on the undercoat film, wherein the metal-containing resist has at least one opening; and (b) etching the undercoat film through the opening, wherein the metal-containing resist contains a compound having a repeating unit represented by the above formula (1) in its molecule.
  • a method for processing a substrate comprising: (a) providing a substrate having an undercoat; (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound;
  • the substrate processing method comprises:
  • the compound ( ⁇ ) includes a compound ( ⁇ 1) containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • the photosensitive group comprises at least one group selected from the group consisting of a methyl group, an ethyl group, an n-propyl group, an n-butyl group, an i-propyl group, a t-butyl group, and -CH x F y (wherein x is an integer of 0 to 2, and y is an integer of 1 to 3).
  • Appendix 6 The substrate processing method according to any one of Appendix 1 to Appendix 5, wherein the polyfunctional compound includes at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • the polyfunctional compound includes at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • step (Appendix 10) 10. The substrate processing method according to claim 1, wherein the step (b) includes forming the metal-containing resist film by using a mixed gas containing the metal-containing precursor and the polyfunctional compound.
  • the metal-containing precursor comprises a metal complex containing at least one metal selected from the group consisting of Sn, Ti, Hf, Zr, and In.
  • polyfunctional compound includes at least one compound ( ⁇ ) selected from the group consisting of polyalcohols, polythiols, polycarboxylic acids, polyisocyanates, and polyisothiocyanates.
  • the organic acid includes at least one selected from the group consisting of an alcohol, a thiol, a carboxylic acid, a sulfonic acid, a ⁇ -dicarbonyl compound, an alkyl carbonate, and an azole.
  • a metal-containing resist comprising a compound having a repeating unit represented by the following formula (1) in the molecule: -(M-X-A-X)- (1)
  • M represents Sn, Ti, Hf, Zr or In
  • X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate or a polyisothiocyanate
  • A represents a divalent organic group having 2 to 10 carbon atoms.
  • a substrate processing system having one or more substrate processing apparatuses and a control unit, The control unit, for the one or more substrate processing apparatuses, (a) providing a substrate having an undercoat film; (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound; A substrate processing system configured to perform the steps of:
  • a device manufacturing method comprising the steps of: (a) providing a substrate having an undercoat; (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound; A device manufacturing method comprising the steps of:
  • Appendix 22 A computer of a substrate processing system having one or more substrate processing apparatuses and a control unit, (a) providing a substrate having an undercoat film; (b) forming a metal-containing resist film on the undercoat film using a metal-containing precursor having a photosensitive group and a polyfunctional compound; A program that executes the following.
  • (Appendix 24) (a) providing a substrate having an undercoat and a metal-containing resist on the undercoat; (b) exposing the metal-containing resist through an exposure mask to form a first exposed area and a second unexposed area in the metal-containing resist; (c) selectively removing one of the first region and the second region; Including, The developing method according to the above (a), wherein the metal-containing resist contains a compound having a repeating unit represented by the following formula (1) in the molecule: -(M-X-A-X)- (1) (In the above formula (1), M represents Sn, Ti, Hf, Zr or In, X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate or a polyisothiocyanate, and A represents a divalent organic group having 2 to 10 carbon atoms.)
  • (Appendix 25) (a) providing a substrate having an undercoat and a metal-containing resist on the undercoat, wherein the metal-containing resist has at least one opening; (b) etching the undercoat film through the opening; Including, The etching method, wherein the metal-containing resist contains a compound having a repeating unit represented by the following formula (1) in the molecule: -(M-X-A-X)- (1)
  • M represents Sn, Ti, Hf, Zr or In
  • X represents a divalent group derived from a terminal functional group of a polyalcohol, a polythiol, a polycarboxylic acid, a polyisocyanate or a polyisothiocyanate
  • A represents a divalent organic group having 2 to 10 carbon atoms.
  • Plasma processing device 2: Control unit, 10: Plasma processing chamber, 1: Substrate support unit, 20: Gas supply unit, 30: Power supply, 100: Heat processing device, 102: Processing chamber, 120: Stage heater, 121: Substrate support unit, 141: Gas nozzle, 200: Control unit, 300: Liquid processing device, 311: Spin chuck, 321: Cup, 331: Processing liquid supply nozzle, 351: Cleaning liquid supply nozzle, 400: Control unit, RM: Metal-containing resist film, UF: Base film, W: Substrate

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

基板処理方法が提供される。この方法は、(a)下地膜を有する基板を提供する工程と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、下地膜上に金属含有レジスト膜を形成する工程と、を有する。

Description

基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム
 本開示の例示的実施形態は、基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システムに関する。
 特許文献1には、半導体基板上に極端紫外光(Extreme Ultra Violet、以下EUVと表記する)を用いてパターニングされうる薄膜を形成する技術が開示されている。
特表2021-523403号公報
 本開示は、基板上に形成された金属含有レジスト膜の組成を調整する技術を提供する。
 本開示の一つの例示的実施形態において、基板処理方法であって、(a)下地膜を有する基板を提供する工程と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する工程と、を有する、基板処理方法が提供される。
 本開示の一つの例示的実施形態によれば、基板上に形成された金属含有レジスト膜の組成を調整する技術を提供することができる。
熱処理システムの構成例を説明するための図である。 プラズマ処理システムの構成例を説明するための図である。 容量結合型のプラズマ処理装置の構成例を説明するための図である。 液処理システムの構成例を説明するための図である。 本処理方法を示すフローチャートである。 基板Wの下地膜UFの一例を示す図である。 基板Wの下地膜UFの一例を示す図である。 金属含有レジスト膜RMが形成された基板Wの断面構造の一例を示す図である。 ALD法を用いた工程ST2の一例を示すフローチャートである。 ALD法を用いた工程ST2の一例を示すフローチャートである。 ALD法を用いた工程ST2において基板Wの表面で生じる現象の一例を模式的に示す図である。 基板処理システムSSの構成例を説明するためのブロック図である。 方法MTを示すフローチャートである。
 以下、本開示の各実施形態について説明する。
 一つの例示的実施形態において、基板処理方法であって、基板処理方法であって、(a)下地膜を有する基板を提供する工程と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、下地膜上に金属含有レジスト膜を形成する工程と、を有する、基板処理方法が提供される。
 一つの例示的実施形態において、金属含有プリカーサは、アミン基及び/又はアルコキシ基を有する化合物(α)を含む。
 一つの例示的実施形態において、化合物(α)は、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含む。
 一つの例示的実施形態において、化合物(α1)は、Snを含む。
 一つの例示的実施形態において、感光性基は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含む。
 一つの例示的実施形態において、多官能性化合物は、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む。
 一つの例示的実施形態において、(b)の工程において、HO、H、O、及びOからなる群から選択される少なくとも1つをさらに用いる。
 一つの例示的実施形態において、(b)の工程は、(b1)下地膜上に、金属含有プリカーサを含むガスを供給して、金属含有プリカーサ膜を形成する工程と、(b2)金属含有プリカーサ膜に、多官能性化合物を含むガスを供給して、金属含有プリカーサ膜から金属含有レジスト膜を形成する工程と、を含む。
 一つの例示的実施形態において、(b1)及び(b2)の工程を複数回繰り返す。
 一つの例示的実施形態において、(b)の工程は、金属含有プリカーサと多官能性化合物とを含む混合ガスを用いて、金属含有レジスト膜を形成することを含む。
 一つの例示的実施形態において、金属含有プリカーサは、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む金属錯体を含む。
 一つの例示的実施形態において、多官能性化合物は、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む。
 一つの例示的実施形態において、(b)の工程は、下地膜上に、金属含有プリカーサと多官能性化合物を含む溶液を塗布する工程と、塗布された溶液を加熱し、金属含有レジスト膜を形成する工程と、を含む。
 一つの例示的実施形態において、基板処理方法は、(c)(b)の工程の後、基板を露光して、金属含有レジスト膜に、露光された第1領域と、露光されていない第2領域とを形成する工程と、(d)基板を現像して、金属含有レジスト膜から第2領域を選択的に除去する工程と、をさらに含む。
 一つの例示的実施形態において、(d)の工程において、弱酸を含む現像ガス又は現像液により、第2領域を除去する。
 一つの例示的実施形態において、弱酸は、pKa<16の有機酸を含む。
 一つの例示的実施形態において、有機酸は、アルコール、チオール、カルボン酸、スルホン酸、β-ジケトン、アルキルカーボネート及びアゾールからなる群から選択される少なくとも1種を含む。
 一つの例示的実施形態において、感光性基を有する金属含有プリカーサと、多官能性化合物と、を含み、金属含有プリカーサが、感光性基と、アミン基及び/又はアルコキシ基と、を有する化合物を含む、金属含有レジスト形成用組成物が提供される。
 一つの例示的実施形態において、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、金属含有レジスト:
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)が提供される。
 一つの例示的実施形態において、1又は複数の基板処理装置と、制御部と、を有する基板処理システムであって、制御部は、1又は複数の基板処理装置に対して、(a)下地膜を有する基板を提供する制御と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、下地膜上に金属含有レジスト膜を形成する制御と、を実行するように構成される、基板処理システムが提供される。
 一つの例示的実施形態において、(a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程と、(b)露光マスクを介して前記金属含有レジストを露光し、前記金属含有レジストに、露光された第1領域と、露光されていない第2領域と、を形成する工程と、(c)前記第1領域及び前記第2領域の一方を選択的に除去する工程と、を含み、前記(a)における金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、現像方法:
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)が提供される。
 一つの例示的実施形態において、(a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程であって、ここで、前記金属含有レジストは少なくとも一つの開口を有する、工程と、(b)前記開口を介して、前記下地膜をエッチングする工程と、を含み、前記金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、エッチング方法:
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)が提供される。
 以下、図面を参照して、本開示の各実施形態について詳細に説明する。なお、各図面において同一または同様の要素には同一の符号を付し、重複する説明を省略する。特に断らない限り、図面に示す位置関係に基づいて上下左右等の位置関係を説明する。図面の寸法比率は実際の比率を示すものではなく、また、実際の比率は図示の比率に限られるものではない。
 本開示に係る基板処理方法は、基板処理システムにより実行し得る。一実施形態において、基板処理システムは、1又は複数の基板処理装置と、制御部と、を有する基板処理システムであって、制御部は、1又は複数の基板処理装置に対して、(a)下地膜を有する基板を提供する制御と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、下地膜上に金属含有レジスト膜を形成する制御と、を実行するように構成される。本開示に係る基板処理システムは、熱処理システム、プラズマ処理システム、液処理システム等を含み得る。
<熱処理システムの構成例>
 図1は、熱処理システムの構成例を説明するための図である。一実施形態において、熱処理システムは、熱処理装置100及び制御部200を含む。熱処理システムは、基板処理システムの一例であり、熱処理装置100は、基板処理装置の一例である。
 熱処理装置100は、密閉空間を形成可能に構成された処理チャンバ102を有する。処理チャンバ102は、例えば気密な筒状容器であり、内部の雰囲気を調整可能に構成される。処理チャンバ102の側壁には、側壁ヒータ104が設けられている。処理チャンバ102の天井壁(天板)には、天井ヒータ130が設けられている。処理チャンバ102の天井壁(天板)の天井面140は、水平な平坦面として形成されており、天井ヒータ130によりその温度が調整される。
 処理チャンバ102内の下部側には、基板支持部121が設けられている。基板支持部121は、その上に基板Wが支持される基板支持面を有する。基板支持部121は、例えば、平面視で円形に形成されており、水平に形成されたその表面(上面)の上に基板Wが載置される。基板支持部121の中には、ステージヒータ120が埋設されている。このステージヒータ120は、基板支持部121に載置された基板Wを加熱することができる。なお、基板支持部121には、基板Wを囲むようにリングアセンブリ(図示せず)が配置されてもよい。リングアセンブリは、1又は複数の環状部材を含んでよい。リングアセンブリを基板Wの周囲に配置することにより、基板Wの外周領域の温度制御性を向上させることができる。リングアセンブリは、目的とする熱処理に応じて、無機材料又は有機材料から構成されてよい。
 基板支持部121は、処理チャンバ102の底面に設けられた支柱122によって、処理チャンバ102内で支持されている。支柱122の周方向の外側には、垂直に昇降可能な複数の昇降ピン123が設けられている。複数の昇降ピン123はそれぞれ、基板支持部121に設けられた貫通孔に各々挿通されている。複数の昇降ピン123は周方向に間隔を設けて配列されている。複数の昇降ピン123の昇降動作は、昇降機構124により制御される。昇降ピン123が基板支持部121の表面に突出すると、図示しない搬送機構と基板支持部121との間での、基板Wの受け渡しが可能となる。
 処理チャンバ102の側壁には、開口を有する排気口131が設けられている。排気口131は、排気管を介して排気機構132に接続されている。排気機構132は、真空ポンプ及びバルブなどにより構成されており、排気口131からの排気流量を調整する。この排気機構132による排気流量等の調整により、処理チャンバ102内の圧力が調整される。なお、処理チャンバ102の側壁には、排気口131が開口する位置とは異なる位置に、図示しない基板Wの搬送口が開閉自在に形成されている。
 また、処理チャンバ102の側壁には、排気口131及び基板Wの搬送口とは異なる位置に、ガスノズル141が設けられている。ガスノズル141は、処理ガスを処理チャンバ102内に供給する。ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部から見て、排気口131の反対側に設けられている。即ち、ガスノズル141は、処理チャンバ102の側壁において、基板支持部121の中心部を通過する垂直仮想面に対して排気口131と対称に設けられている。
 ガスノズル141は、処理チャンバ102の側壁から処理チャンバ102の中心側に向けて突出する棒状に形成されている。ガスノズル141の先端部は、処理チャンバ102の側壁から例えば水平に延びている。処理ガスは、ガスノズル141の先端において開口する吐出口から処理チャンバ102内に吐出され、図1に示す一点鎖線の矢印の方向に流れて、排気口131から排気される。なお、ガスノズル41の先端部は、基板Wに向けて斜め下方に延びる形状を有していてもよく、処理チャンバ102の天井面140に向けて斜め上方に延びる形状を有していてもよい。
 なお、ガスノズル141は、例えば、処理チャンバ102の天井壁に設けられていもよい。また排気口131は、処理チャンバ102の底面に設けられていてもよい。
 熱処理装置100は、処理チャンバ102の外側からガスノズル141に接続されるガス供給管152を有する。ガス供給管152の周囲には、ガス供給管内のガスを加熱するための配管ヒータ160が周囲に設けられる。ガス供給管152は、ガス供給部170に接続されている。ガス供給部170は、少なくとも1つのガスソース及び少なくとも1つの流量制御器を含む。ガス供給部は、液体の状態の材料を気化させる気化器を含んでよい。
 制御部200は、本開示において述べられる種々の工程を熱処理装置100に実行させるコンピュータ実行可能な命令を処理する。制御部200は、ここで述べられる種々の工程を実行するように熱処理装置100の各要素を制御するように構成され得る。一実施形態において、制御部200の一部又は全てが熱処理装置100に含まれてもよい。制御部200は、処理部200a1、記憶部200a2及び通信インターフェース200a3を含んでもよい。制御部200は、例えばコンピュータ200aにより実現される。処理部200a1は、記憶部200a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部200a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部200a2に格納され、処理部200a1によって記憶部200a2から読み出されて実行される。媒体は、コンピュータ200aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース200a3に接続されている通信回線であってもよい。処理部200a1は、CPU(Central Processing Unit)であってもよい。記憶部200a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース200a3は、LAN(Local Area Network)等の通信回線を介して熱処理装置100との間で通信してもよい。
<プラズマ処理システムの構成例>
 図2は、プラズマ処理システムの構成例を説明するための図である。一実施形態において、プラズマ処理システムは、プラズマ処理装置1及び制御部2を含む。プラズマ処理システムは、基板処理システムの一例であり、プラズマ処理装置1は、基板処理装置の一例である。プラズマ処理装置1は、プラズマ処理チャンバ(以下、単に「処理チャンバ」ともいう。)10、基板支持部11及びプラズマ生成部12を含む。プラズマ処理チャンバ10は、プラズマ処理空間を有する。また、プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間に供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。ガス供給口は、後述するガス供給部20に接続され、ガス排出口は、後述する排気システム40に接続される。基板支持部11は、プラズマ処理空間内に配置され、基板を支持するための基板支持面を有する。
 プラズマ生成部12は、プラズマ処理空間内に供給された少なくとも1つの処理ガスからプラズマを生成するように構成される。プラズマ処理空間において形成されるプラズマは、容量結合プラズマ(CCP;Capacitively Coupled Plasma)、誘導結合プラズマ(ICP:Inductively Coupled Plasma)、ECRプラズマ(Electron-Cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP:Helicon Wave Plasma)、又は、表面波プラズマ(SWP:Surface Wave Plasma)等であってもよい。また、AC(Alternating Current)プラズマ生成部及びDC(Direct Current)プラズマ生成部を含む、種々のタイプのプラズマ生成部が用いられてもよい。一実施形態において、ACプラズマ生成部で用いられるAC信号(AC電力)は、100kHz~10GHzの範囲内の周波数を有する。従って、AC信号は、RF(Radio Frequency)信号及びマイクロ波信号を含む。一実施形態において、RF信号は、100kHz~150MHzの範囲内の周波数を有する。
 制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、例えばコンピュータ2aにより実現される。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2の各構成は、上述した制御部200(図1参照)の各構成と同様であってよい。
 以下に、プラズマ処理装置1の一例としての容量結合型のプラズマ処理装置の構成例について説明する。図3は、容量結合型のプラズマ処理装置の構成例を説明するための図である。
 容量結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理チャンバ10内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理チャンバ10の天部(ceiling)の少なくとも一部を構成する。プラズマ処理チャンバ10は、シャワーヘッド13、プラズマ処理チャンバ10の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は接地される。シャワーヘッド13及び基板支持部11は、プラズマ処理チャンバ10の筐体とは電気的に絶縁される。
 基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
 一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF電源31及び/又はDC電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。
 リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
 また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。
 シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
 ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する少なくとも1つの流量変調デバイスを含んでもよい。
 電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ生成部12の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。
 一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。
 第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
 また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。
 種々の実施形態において、第1及び第2のDC信号がパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。
 排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
<液処理システムの構成例>
 図4は、液処理システムの構成例を説明するための図である。一実施形態において、液処理システムは、液処理装置300及び制御部400を含む。液処理システムは、基板処理システムの一例であり、液処理装置300は、基板処理装置の一例である。
 図4に示すように、液処理装置300は、処理チャンバ310内に、基板支持部としてのスピンチャック311を有している。スピンチャック311は、基板Wを水平に保持する。スピンチャック311は、昇降自在な回転部312と接続され、回転部312はモータなどによって構成される回転駆動部313と接続されている。回転駆動部313の駆動によって、スピンチャック311に保持された基板Wは回転可能である。
 スピンチャック311の外側には、カップ321が配置されており、処理液(レジスト液、現像液、洗浄液等)や処理液のミストがカップ321の周囲に飛散することが防止される。カップ321の底部322には、排液管323と排気管324が設けられている。排液管323は、排液ポンプなどの排液装置325に通じている。排気管324は、バルブ326を介して、排気ポンプなどの排気装置327に通じている。
 液処理装置300の処理チャンバ310内の上方には、要求される温湿度のエアをカップ321内に向けてダウンフローとして供給する送風装置314が設けられている。
 基板W上に処理液のパドルを形成する際には、処理液供給ノズル331が用いられる。この処理液供給ノズル331は、例えばアームなどのノズル支持部332に設けられており、ノズル支持部332は駆動機構によって、図中の破線で示した往復矢印Aのように昇降自在であり、また破線で示した往復矢印Bのように水平移動自在である。処理液供給ノズル331には、供給管333を介して処理液供給源334から処理液(レジスト液や現像液等)が供給される。
 パドルを形成するにあたり、基板Wの直径以上の長さを有する吐出口を備えたいわゆる長尺ノズルを用いる場合には、基板W上を一端部から他端部までスキャンすることで、基板W上に処理液のパドルを形成することができる。また基板Wの直径に対して充分小さい幅の液柱を形成するように液を吐出する、いわゆるストレートタイプのノズルの場合には、吐出口を基板Wの中心上方に位置させ、基板Wを回転させながら処理液を吐出することで、基板Wの全面に処理液を拡散させて、基板W上に処理液のパドルを形成することができる。また処理液のパドル形成は、ストレートタイプのノズルを長尺ノズルと同様に基板W上をスキャンさせることや、ストレートタイプの様に液を吐出する吐出口を複数基板W上にならべて、それぞれの吐出口から処理液を供給するといったことで行われてもよい。
 ガスノズル341は、ノズル本体342を有している。ノズル本体342はアームなどのノズル支持部に設けられており、当該ノズル支持部は駆動機構によって、図中の破線で示した往復矢印Cのように、昇降自在であり、また破線で示した往復矢印Dのように水平移動自在である。
 ガスノズル341は、2つのノズル吐出口343、344を有している。ノズル吐出口343、344はガス流路345から分岐して形成されている。ガス流路345は、ガス供給管346を介してガス供給源347に通じている。ガス供給源347には、不活性ガスや非酸化性ガスとして、例えば窒素ガスが用意されている。ガス流路345から例えば窒素ガスがガスノズル341に供給されると、各ノズル吐出口343、344から窒素ガスが吐出される。
 またガスノズル341には、液処理後の処理液を基板W上から洗浄する洗浄液供給ノズル351が設けられている。洗浄液供給ノズル351は洗浄液供給管352を介して、洗浄液供給源353に通じている。洗浄液としては、例えば純水が用いられる。洗浄液供給ノズル351は、前記した2つのノズル吐出口343、344の間に位置しているが、その位置はこれに限られるものではない。洗浄液供給ノズル351は、ガスノズル341とは独立した構成としてもよい。
 制御部400は、本開示において述べられる種々の工程を液処理装置300に実行させるコンピュータ実行可能な命令を処理する。制御部400は、ここで述べられる種々の工程を実行するように液処理装置300の各要素を制御するように構成され得る。一実施形態において、制御部400の一部又は全てが液処理装置300に含まれてもよい。制御部400は、例えばコンピュータ400aにより実現される。コンピュータ400aは、処理部400a1、記憶部400a2及び通信インターフェース400a3を含んでよい。制御部400の各構成は、上述した制御部200(図1参照)の各構成と同様であってよい。
<基板処理方法の一例>
 図5は、例示的な実施形態に係る基板処理方法(以下「本処理方法」ともいう。)を示すフローチャートである。本処理方法は、下地膜を有する基板を提供する工程ST1と、下地膜上に金属含有レジスト膜を形成する工程ST2を含む。一実施形態において、工程ST2における金属含有レジスト膜の形成処理(以下「成膜処理」ともいう。)は、処理ガスを利用したドライプロセス(以下「ドライ成膜」ともいう。)により行われる。一実施形態において、工程ST2における成膜処理は、溶液を利用したウェットプロセス(以下「ウェット成膜」ともいう。)により行われる。一実施形態において、工程ST2における成膜処理は、ウェット成膜とドライ成膜の両方を用いて行われる。
 本処理方法は、(a)下地膜を有する基板を提供する工程(後述する「工程ST1」に対応する。)と、(b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する工程(後述する「工程ST2」に対応する。)と、を有することができる。各工程は、上述した基板処理システム(図1~図4参照)のいずれか1つを用いて実行されてよく、またこれらの基板処理システムの2つ以上を用いて実行されてもよい。例えば、本処理方法は熱処理システム(図1参照)で実行されてよい。以下では、制御部200が熱処理装置100の各部を制御して、基板Wに対して本処理方法を実行する場合を例に説明する。
(工程ST1:基板の提供)
 まず、工程ST1において、基板Wが、熱処理装置100の処理チャンバ102内に提供される。基板Wは、昇降ピン123を介して基板支持部121上に提供される。基板Wが基板支持部121に配置された後、基板支持部121の温度が設定温度に調整される。設定温度は、例えば、350℃以下の温度でよく、25℃以上350℃以下の温度でよい。基板支持部121の温度調整は、側壁ヒータ104、ステージヒータ120、天井ヒータ130及び配管ヒータ160(以下併せて「各ヒータ」ともいう。)のうち1つ以上のヒータの出力を制御することで行なってよい。本処理方法において、基板支持部121の温度は、工程ST1の前に設定温度に調整されてよい。すなわち、基板支持部121の温度が設定温度に調整された後に、基板支持部121に基板Wが提供されてよい。
 基板Wは、半導体デバイスの製造に用いられてよい。半導体デバイスは、例えば、DRAM、3D-NANDフラッシュメモリ等の半導体メモリデバイス、及びロジックデバイスを含む。基板Wは、下地膜UFを有する。下地膜UFは、シリコンウェハ上に形成された有機膜、誘電体膜、金属膜又は半導体膜又はこれらの積層膜でよい。一実施形態において、下地膜UFは、例えば、シリコン含有膜、炭素含有膜及び金属含有膜からなる群から選択される少なくとも一種を含む。
 図6及び図7は、それぞれ、基板Wの下地膜UFの一例を示す図である。図6に示すように、下地膜UFは、第1膜UF1、第2膜UF2及び第3膜UF3から構成されてよい。図7に示すように下地膜UFは、第2膜UF2及び第3膜UF3から構成されてよい。一実施形態において、下地膜UFには、表面改質処理が施されてよい。
 第1膜UF1は、例えば、スピンオングラス(SOG)膜、SiC膜、SiON膜、Si含有反射防止膜(SiARC)又は有機膜である。第2膜UF2は、例えば、スピンオンカーボン(SOC)膜、アモルファスカーボン膜又はシリコン含有膜である。第3膜UF3は、例えば、シリコン含有膜である。シリコン含有膜は、例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜、シリコン炭窒化膜、多結晶シリコン膜又は炭素含有シリコン膜である。第3膜UF3は、積層された複数の種類のシリコン含有膜から構成されてよい。例えば、第3膜UF3は、交互に積層されたシリコン酸化膜とシリコン窒化膜とから構成されてよい。また第3膜UF3は、積層されたシリコン酸化膜と多結晶シリコン膜とから構成されてもよい。また第3膜UF3は、シリコン窒化膜、シリコン酸化膜及び多結晶シリコン膜を含む積層膜でもよい。また第3膜UF3は、積層されたシリコン酸化膜とシリコン炭窒化膜とから構成されてよい。また第3膜UF3は、シリコン酸化膜、シリコン窒化膜、シリコン炭窒化膜を含む積層膜でもよい。
 下地膜UFの一部又は全部は、熱処理装置100の処理チャンバ102内で形成されてよく、また他のシステム、例えば、プラズマ処理システム(図2及び図3参照)や液処理システム(図4参照)を用いて形成されてもよい。
(工程ST2:金属含有レジスト膜の形成)
 次に、工程ST2において、基板Wの下地膜UF上に金属含有レジスト膜RMが形成される。工程ST2において、下地膜UF上に金属含有レジスト膜RMを形成するために、感光性基を有する金属含有プリカーサと、多官能性化合物とを用いることができる。感光性基は、隣接する金属原子の感光性に起因して、露光により脱離し得る基を意味する。感光性基の例は、水素原子や、ハロゲン等で置換されていてもよい炭化水素基g1等を含む。置換されていてもよい炭化水素基g1の例は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基等の直鎖状又は分岐状のアルキル基、及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)等のハロゲン置換アルキル基等を含む。
 図8は、工程ST2において、金属含有レジスト膜RMが形成された基板Wの断面構造の一例を示す図である。図8に示すとおり、金属含有レジスト膜RMは、下地膜UFの表面に形成される。金属含有レジスト膜RMは金属を含む膜である。一実施形態において、金属含有レジスト膜RMは、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む。一例では、金属含有レジスト膜RMは、Snを含有してよい。
 一実施形態において、金属含有レジスト膜RMは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む。
  -(M-X-A-X)-  (1)
(式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)。
 一例では、ポリアルコールの末端に由来する2価基は、アルコキシ結合を含む。一例では、ポリチオールの末端に由来する2価基は、スルフィド結合を含む。一例では、ポリカルボン酸の末端に由来する2価基は、エステル結合を含む。一例では、ポリイソシアネートの末端に由来する2価基は、ウレタン結合を含む。一例では、ポリイソチオシアネートの末端に由来する2価基は、チオウレタン結合を含む。式(1)中のAを与え得る2価の有機基の例は、炭素数が2以上10以下である、置換されていてもよい炭化水素基g2を含む。置換されていてもよい炭化水素基g2の例は、直鎖状、分岐状又は環状の2価の炭化水素基を含む。直鎖状、分岐状又は環状の2価の炭化水素基の例は、直鎖状、分岐状又は環状のアルキレン基やアリーレン基を含み得る。上記直鎖状、分岐状又は環状のアルキレン基やアリーレン基は、それぞれ、分子中の少なくとも1つの水素原子がハロゲン等により置換されていてもよい。
 一例では、式(1)で表される繰り返し単位は、M=SnかつX=-S-かつA=エチレン基であってよく、このような繰り返し単位を有する化合物が得られる例示的プロセスは、図9に示される。図9の例において、感光性基を有する金属含有プリカーサは、n-ブチルトリス(ジメチルアミノ)スズであり、多官能性化合物は、エタンジチオールである。すなわち、図9の例においては、n-ブチルトリス(ジメチルアミノ)スズとエタンジチオールが反応し、-(Sn-S-CHCH-S)-で表される繰り返し単位を有する化合物が得られる。
 一実施形態において、工程ST2は、ドライ成膜により実施することができる。ドライ成膜において、例えば:
 一実施形態において、金属含有プリカーサは、アミン基及び/又はアルコキシ基を有する化合物(α)を含むことができる;
 一実施形態において、化合物(α)は、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含むことができる;
 一実施形態において、化合物(α1)は、Snを含むことができる;
 一実施形態において、金属含有プリカーサにおける感光性基は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含むことができる;
 一実施形態において、多官能性化合物は、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含むことができる;
 一実施形態において、(b)の工程において、HO、H、O、及びOからなる群から選択される少なくとも1つをさらに用いることができる。
 ドライ成膜において、工程ST2における金属含有レジスト膜RMの形成は、原子堆積法(Atomic Layer Deposition、以下「ALD法」という)、CVD法などの種々の方法を用いて実行されてよい。以下、金属含有レジスト膜RMを形成する各種方法について説明する。
 (ALD法)
 一実施形態において、ALD法では、基板Wの下地膜UFに、所定の材料を自己制御的に吸着かつ反応させることで金属含有レジスト膜RMを形成する。一実施形態において、(b)の工程は、(b1)下地膜上に、金属含有プリカーサを含むガスを供給して、金属含有プリカーサ膜を形成する工程と、(b2)金属含有プリカーサ膜に、多官能性化合物を含むガスを供給して、金属含有プリカーサ膜から金属含有レジスト膜を形成する工程と、を含むことができる。一実施形態において、(b1)及び(b2)の工程を複数回繰り返すことができる。
 図10は、ALD法を用いた工程ST2の一例を示すフローチャートである。図10に示すように、ALD法を用いた工程ST2は、金属含有プリカーサ膜を形成する工程ST211と、第1のパージ工程ST212と、金属含有プリカーサ膜から金属含有膜を形成する工程ST213と、第2のパージ工程ST214と、判断工程ST215とを含む。なお、第1のパージ工程ST212,第2のパージ工程ST214は、実行されてもされなくてもよい。また図11は、ALD法を用いた工程ST21において基板Wの表面で生じる現象の一例を模式的に示す図である。
 工程ST211では、図11に示すように、下地膜UFの表面に、金属含有プリカーサを含む第1のガスG1が供給されて、金属含有プリカーサ膜PFが形成される。一実施形態において、金属含有プリカーサは、アミン基及び/又はアルコキシ基を有する化合物(α)を含む。アミン基は、-NRで表される1価の基であり、ここで、R及びRは、各々独立して、炭素数1~2のアルキル基を表す。アミン基の例は、ジメチルアミノ基、ジエチルアミノ基、エチルメチルアミノ基等を含む。アルコキシ基の例は、メトキシ基、エトキシ基、n-プロポキシ基、n-ブトキシ基、i-プロポキシ基、t-ブトキシ基等を含む。一実施形態において化合物(α)は、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含むことができる。一実施形態において、化合物(α1)は、Snを含むことができる。一実施形態において、金属含有プリカーサにおける感光性基は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含むことができる。例えば、金属含有プリカーサは、アミノスズ化合物、アミノチタン化合物、アミノハフニウム化合物、アミノジルコニウム化合物及びアミノインジウム化合物からなる群から選択される少なくとも1種の化合物を含む。アミノスズ化合物の例は、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジメチルアミノ)スズ、ビス(ジメチルアミノ)ジメチルスズ、ビス(ジメチル)ジブチルスズ、アジドトリメチルスズ、ビス(ジメチルアミノ)ジブチルスズ、)等を含み得る。アルコキシスズ化合物の例は、ビス(tert-ブトキシド)ジメチルスズ、ビス(ジメトキシ)ジメチルスズを含みうる。アミノチタン化合物、アミノハフニウム化合物及びアミノジルコニウム化合物の例は、MRの組成式(式中、MはTi、Hf又はZrであり、Rは感光性基であり、Lは多官能性化合物と反応し得るアミン基又はアルコキシ基であり、x及びyは、x≧1かつy=4-xを満たす。)で表される化合物等を含み得る。アミノインジウム化合物の例は、InRの組成式(式中、Rは感光性基であり、Lは多官能性化合物と反応し得るアミン基又はアルコキシ基であり、x及びyは、x≧1かつy=3-xを満たす。)で表される化合物等を含み得る。一実施形態において、金属含有プリカーサにおける感光性基は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含むことができる。
 一実施形態における工程ST211では、第1のガスG1がガスノズル141を介して処理チャンバ102内に供給される。そして、処理チャンバ102内において、第1のガスG1の金属含有プリカーサが下地膜UFの表面に吸着され、金属含有プリカーサ膜PFが形成される。金属含有プリカーサ膜PFは、例えば、Sn、Ti、Hf、Zr、In等を含み得る。金属含有プリカーサ膜PFは、金属錯体であり得る。当該金属錯体は、例えば、アミノスズを含み得る。
 工程ST212では、処理チャンバ102内のガスが排気機構132により排気口131から排出される。このとき、基板Wに対して不活性ガス等が供給されてよい。これにより、過剰な金属含有プリカーサなどのガスがパージされる。不活性ガスは、一例では、He、Ar、Ne、Kr、Xe等の貴ガスや窒素ガスである。
 工程ST213では、図11に示すように、基板Wの表面に多官能性化合物を含む第2のガスG2が供給され、第2のガスG2と金属含有プリカーサ膜PFとが反応して、金属含有プリカーサ膜PFから金属含有レジスト膜が形成される。第2のガスG2は、下地膜UFの表面に吸着した金属含有プリカーサと反応するガスである。水や過酸化水素は多官能性化合物に含まれない。一実施形態において、多官能性化合物として、2価以上(官能基数が2以上)の化合物を使用し得る。一実施形態において、多官能性化合物は2価でもよく、3価以上でもよい。一実施形態において、多官能性化合物は、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含んでいてもよい。ポリアルコールの例は、エチレングリコール、グリセリン等を含み得る。ポリチオールの例は、エタンジチオール、トルエンジチオール等を含み得る。ポリカルボン酸の例は、グルタル酸、アジピン酸、テレフタル酸(溶液)等を含み得る。ポリイソシアネートの例は、トルエンジイソシアネート等を含み得る。ポリイソチオシアネートの例は、ブタンジイソチオシアナート、フェニレンビス(イソチオシアナート)等を含み得る。一実施形態における工程ST213では、第2のガスG2がガスノズル141を介して処理チャンバ102内に供給される。一実施形態において、第2のガスG2は、HOガス、H、O及びOからなる群から選択される少なくとも1つを含んでいてもよい。一実施形態において、HOガス、H、O及びOからなる群から選択される少なくとも1つは、第2のガスG2とは異なるガスとして処理チャンバ102内に供給される。そして、処理チャンバ102内において第2のガスG2と金属含有プリカーサ膜PFとが反応して金属含有レジスト膜が形成される。
 工程ST214では、処理チャンバ102内のガスが排気機構132により排気口131から排出される。このとき、基板Wに対して不活性ガス等が供給されてよい。これにより、過剰な第2のガスG2などのガスがパージされる。
 工程ST215では、工程ST21を終了するための所与の条件が満たされているか否かが判定される。所与の条件は、工程ST211から工程ST214を1サイクルとする処理が、予め設定された回数行われたことであり得る。当該回数は、1回、5回未満、5回以上、10回以上であってよい。工程ST215では、所与の条件が満たされていないと判断された場合には、工程ST211に戻り、所与条件が満たされていると判断された場合には、工程ST21が終了する。例えば、所与の条件は、工程ST214後における、金属含有レジスト膜の寸法に関する条件でもよい。すなわち、工程ST214の後に、金属含有レジスト膜の寸法(レジスト膜の厚み)が所与の値や範囲に達したか否かを判断し、当該所与の値や範囲に達するまで工程ST211から工程ST214のサイクルを繰り返してよい。金属含有レジスト膜の寸法は、光学的な測定装置で測定されてよい。以上により、下地膜UF上に金属含有レジスト膜が形成される。
 (CVD法)
 一実施形態において、CVD法では、金属含有プリカーサと多官能性化合物とを含む混合ガスGMにより、金属含有レジスト膜を形成する。金属含有プリカーサは、CVD法に使用され得る公知の金属含有プリカーサ(Siを含む例において、ケイ素含有化合物等)を含むものであってもよく、ALD法で述べた金属含有プリカーサであってもよい。多官能性化合物は、ALD法で述べた多官能性化合物であってよい。混合ガスGMは、HO、H、O、及びOからなる群から選択される少なくとも1つを含んでいてもよい。一実施形態において、混合ガスGMがガスノズル141を介して処理チャンバ102内に供給される。混合ガスGMは、基板W上で化学反応し、これにより下地膜UF上に金属含有レジスト膜が形成される。
 工程ST2において、基板支持部121の温度及び圧力は適宜設定されてよい。基板支持部121の温度の調整は、各ヒータの1つ以上の出力を制御することで行われてよい。基板支持部121の温度は、例えば25~350℃でよく、一例では50~200℃である。処理チャンバ102内の圧力は、例えば、500Torr以下でよい。
 一実施形態において、工程ST2は、金属含有レジスト膜を加熱してベークする工程を含んでよい。ベークは、大気雰囲気で実行されてよく、不活性雰囲気で実行されてもよい。ベークは、基板Wを50℃以上350℃以下、50℃以上200℃以下又は80℃以上150℃以下に加熱することで実行されてよい。一実施形態において、熱処理装置100の各ヒータは、ベークを行う加熱部として機能し得る。一実施形態において、ベークは、熱処理装置100以外の他の熱処理システムを用いて実行されてもよい。
 一実施形態において、本処理方法は、プラズマ処理システム(図2及び図3参照)を用いたドライプロセスにより実行されてよい。例えば、プラズマ処理装置1の処理チャンバ10内の基板支持部11上に基板Wを提供し(工程ST1)、ガス供給部20から処理チャンバ10内に処理ガスを供給することで、金属含有レジスト膜RMを形成する(工程ST2)ようにしてよい。
 プラズマ処理システムを用いる場合、工程ST2において、上述したALD法又はCVD法が用いられてよい。工程ST2における処理ガス(第1のガスG1、第2のガスG2、混合ガスGM等)の構成(種類、流量及び流量比)及び基板支持部11の温度等は、熱処理システムを用いる場合と同様であってよい。基板支持部11の温度は、温調モジュールや静電チャック1111と基板Wの裏面との間の伝熱ガス(例えばHe)の圧力を制御することで調整されてよい。工程ST21及び工程ST22において、処理ガスからプラズマが生成されてよく、またプラズマが生成されなくてもよい。熱処理システム(図1参照)を用いる場合と同様、工程ST21及び/又は工程ST22は、基板Wを加熱してベーク処理を行う工程を含んでよい。ベーク処理は、例えば、熱処理システムを用いて実行されてよい。
 一実施形態において、本処理方法は、液処理システム(図4参照)を用いたウェットプロセス(ウェット成膜)により実行されてよい。すなわち、液処理装置300の処理チャンバ310内のスピンチャック311に基板Wを提供し(工程ST1)、処理液供給ノズル331から成膜用の溶液(レジスト前駆体液)を基板W上に塗布することで、金属含有レジスト膜RMを形成する(工程ST2)ようにしてよい。一実施形態において、工程ST2をウェット成膜により実施する場合、例えば:
 一実施形態において、(b)の工程が、下地膜上に、金属含有プリカーサと多官能性化合物を含む溶液を塗布する工程と、塗布された溶液を加熱する工程と、を含む;
 一実施形態において、金属含有プリカーサが、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む金属錯体を含む;
 一実施形態において、多官能性化合物が、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む。
 液処理システムを用いる場合、工程ST2において、成膜用の溶液(レジスト前駆体液)は、金属含有プリカーサを含んでよい。一実施形態において、金属含有プリカーサは、アミン基を有する化合物(α)を含む。一実施形態において化合物(α)は、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含むことができる。一実施形態において、化合物(α1)は、Snを含むことができる。一実施形態において、金属含有プリカーサにおける感光性基は、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含むことができる。例えば、金属含有プリカーサは、アミノスズ化合物、アミノチタン化合物、アミノハフニウム化合物、アミノジルコニウム化合物及びアミノインジウム化合物からなる群から選択される少なくとも1種の化合物を含む。アミノスズ化合物の例は、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジメチルアミノ)スズ、ビス(ジメチルアミノ)ジメチルスズ、ビス(ジメチル)ジブチルスズ、アジドトリメチルスズ、ビス(ジメチルアミノ)ジブチルスズ、)等を含み得る。アルコキシスズ化合物の例は、ビス(tert-ブトキシド)ジメチルスズ、ビス(ジメトキシ)ジメチルスズを含みうる。アミノチタン化合物、アミノハフニウム化合物及びアミノジルコニウム化合物の例は、MRの組成式(式中、MはTi、Hf又はZrであり、Rは感光性基であり、Lは多官能性化合物と反応し得るアミン基又はアルコキシ基であり、x及びyは、x≧1かつy=4-xを満たす。)で表される化合物等を含み得る。アミノインジウム化合物の例は、InRの組成式(式中、Rは感光性基であり、Lは多官能性化合物と反応し得るアミン基又はアルコキシ基であり、x及びyは、x≧1かつy=3-xを満たす。)で表される化合物等を含み得る。
 液処理システムを用いる場合、工程ST2において、成膜用の溶液(レジスト前駆体液)は、多官能性化合物を含んでよい。一実施形態において、多官能性化合物は、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含んでいてもよい。ポリアルコールの例は、エチレングリコール、グリセリン等を含み得る。ポリチオールの例は、エタンジチオール、トルエンジチオール等を含み得る。ポリカルボン酸の例は、グルタル酸、アジピン酸、テレフタル酸(溶液)等を含み得る。ポリイソシアネートの例は、トルエンジイソシアネート等を含み得る。ポリイソチオシアネートの例は、ブタンジイソチオシアナート、フェニレンビス(イソチオシアナート)等を含み得る。第2のガスG2は、HO、H、O、及びOからなる群から選択される少なくとも1つを含んでいてもよい。
 液処理システムを用いる場合、工程ST2は、基板Wへ溶液が塗布された後に、基板Wを加熱してベークする工程を含んでよい。一実施形態において、ベークは、例えば、熱処理システム(図1参照)を用いて実行されてよい。ベークは、大気雰囲気で実行されてよく、不活性雰囲気で実行されてもよい。ベークは、基板Wを50℃以上350℃以下、50℃以上200℃以下又は80℃以上150℃以下に加熱することで実行されてよい。
 一実施形態において、本処理方法における金属含有レジスト膜RMの成膜(工程ST2)は、熱処理システム(図1参照)やプラズマ処理システム(図2及び図3参照)を用いたドライプロセスと、液処理システム(図4参照)を用いたウェットプロセスとの双方で行われてよい。
 一実施形態において、工程ST2における金属含有プリカーサと多官能性化合物との反応により、金属含有プリカーサ同士が多官能性化合物由来の構造を介して結合されることとなり、金属含有レジスト膜中の金属の組成比及び膜密度が低下する。金属の組成比及び膜密度が低下することにより、後続し得る現像において、高い反応性を有する物質(例えば、塩化水素、塩化ホウ素、臭化水素等の腐食性の高い物質)だけでなく、例えば、後述する有機酸を使用しても十分に反応が進行し、金属含有レジスト膜が形成される傾向にある。一実施形態において、金属含有プリカーサ同士が多官能性化合物由来の構造を介して結合されることにより、従来の-Sn-O-Sn-のような結合に比べて-Sn-X-A-X-Sn-(ここで、X及びAは上記式(1)におけるものと同義である。)のような比較的結合力の弱い化学構造が得られると共に膜密度が低下し、後続し得る現像において、反応性が向上する傾向にある。一実施形態において、工程ST2における金属含有プリカーサと多官能性化合物との反応を行う間、経時的に使用する多官能性化合物の種類を変更することもできる。その具体例としては、反応の初期(反応開始から第1の経過時間まで)において、第1の炭素数を有する多官能性化合物を使用する。次いで、反応の中期(第1の経過時間から第2の経過時間まで)において、第1の炭素数よりも多い第2の炭素数を有する多官能性化合物を使用する。さらに、反応の後期(第2の経過時間から反応終了まで)において、第2の炭素数よりも多い第3の炭素数を有する多官能性化合物を使用する。このような例において、成膜方向に組成及び/又は密度勾配を有する金属含有レジスト膜(下層側が高密度(金属の組成比が高い)であり、かつ、上層側が低密度(金属の組成比が低い)である金属含有レジスト膜)が得られる。同様の観点から、別の具体例としては、反応の初期において、第1の官能基数を有する多官能性化合物を使用する。次いで、反応の中期において、第1の官能基数よりも少ない第2の官能基数を有する多官能性化合物を使用する。さらに、反応の後期において、第2の官能基数よりも少ない第3の官能基数を有する多官能性化合物を使用する。このような例においても、成膜方向に密度勾配を有する金属含有レジスト膜(下層側が高密度(金属の組成比が高い)であり、かつ、上層側が低密度(金属の組成比が低い)である金属含有レジスト膜)が得られる。
 本処理方法を、ドライ成膜で実施する場合であっても、ウェット成膜で実施する場合であっても、本処理方法は、一実施形態において、(c)(b)の工程の後、基板を露光して、金属含有レジスト膜に、露光された第1領域と、露光されていない第2領域とを形成する工程と、(d)基板を現像して、金属含有レジスト膜から第2領域を選択的に除去する工程と、をさらに含むことができる。
 一実施形態において、(d)の工程において、現像ガス又は現像液により、第2領域を除去することができる。一実施形態において、現像ガス又は現像液は、有機酸を含むことができる。一実施形態において、有機酸は、アルコール、チオール、カルボン酸、スルホン酸、β-ジカルボニル化合物、アルキルカーボネート及びアゾールからなる群から選択される少なくとも1種を含むことができ、これらの有機酸を採用する場合、現像のコントラストが向上する傾向にある。アルコールの例は、ノナフルオロ-tert-ブチルアルコール((CFC-OH)、トリフルオロエタノール等を含み得る。チオールの例は、) メタンチオール、アリルメルカプタン, -トリフルオロエタンチオール等を含み得る。カルボン酸の例は、ギ酸(HCOOH)、酢酸(CHCOOH)、トリクロロ酢酸(CClCOOH)、モノフルオロ酢酸(CFHCOOH)、ジフルオロ酢酸(CFFCOOH)、トリフルオロ酢酸(CFCOOH)クロロ-ジフロロ酢酸(CClFCOOH)、硫黄含有の酢酸、チオ酢酸(CHCOSH)、チオグリコール酸(HSCHCOOH)、トリフルオロ酢酸無水物((CFCO)O)、無水酢酸((CHCO)O)等を含み得る。スルホン酸の例は、メタンスルホン酸、フルオロスルホン酸、10-カンファースルホン酸等を含み得る。β-ジカルボニル化合物の例は、アセチルアセトン(CHC(O)CHC(O)CH)、トリクロロアセチルアセトン(CClC(O)CHC(O)CH)、ヘキサクロロアセチルアセトン(CClC(O)CHC(O)CCl)、トリフルオロアセチルアセトン(CFC(O)CHC(O)CH)、ヘキサフルオロアセチルアセトン(HFAc、CFC(O)CHC(O)CF)等を含み得る。アルキルカーボネートの例は、炭酸ジメチル等を含み得る。アゾールの例は、1,2,3-トリアゾール等を含み得る。現像ガス又は現像液は、無機酸を含むことができる。一実施形態において、現像ガスは、ハロゲン含有ガスを含むことができる。ハロゲン含有ガスは、ハロゲン含有無機酸を含むガスであってよく、BrやClを含むガスでよい。ハロゲン含有無機酸を含むガスは、一例では、HBrガス、BClガス、HClガス、及びHFガス及びHIガスからなる群から選択される少なくとも1種である。一実施形態において、処理ガスは、カルボン酸と水素ハライドとの混合ガス又は酢酸とギ酸との混合ガスである。一実施形態において、現像液は、上述したハロゲン含有無機酸を含む液体であってもよい。
 一実施形態において、(d)の工程において、弱酸を含む現像ガス又は現像液により、第2領域を除去することができる。一実施形態において、弱酸は、酸解離定数(pKa)が16未満の有機酸を含むことができる。例えば、上述した有機酸の中から酸解離定数(pKa)が16未満の有機酸を選択して使用することができる。一実施形態において、弱酸は、酸解離定数(pKa)が0以上16未満の有機酸を含むことができる。例えば、上述した有機酸の中から酸解離定数(pKa)が0以上16未満の有機酸を選択して使用することができる。
(金属含有レジスト形成用組成物)
 一実施形態において、本処理方法においては、感光性基を有する金属含有プリカーサと、多官能性化合物と、を含み、金属含有プリカーサが、感光性基と、アミン基及び/又はアルコキシ基と、を有する化合物を含む金属含有レジスト形成用組成物を用いることができる。金属含有レジスト形成用組成物における金属含有プリカーサ及び多官能性化合物等は、工程ST2において説明したものと同様であってよい。一実施形態において、金属含有レジスト形成用組成物は、金属含有プリカーサを含むガスと多官能性化合物を含むガスとの気体混合物とすることができる。一実施形態において、金属含有レジスト形成用組成物は、金属含有プリカーサを含む液体と多官能性化合物を含む液体との液体混合物とすることができる。
(金属含有レジスト)
 一実施形態において、本処理方法により得られる金属含有レジスト膜は、次の金属含有レジストを含み得る。すなわち、一実施形態において、金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む。
  -(M-X-A-X)-  (1)
(式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
 式(1)中のXを与え得るポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートは、工程ST2において説明したものと同様であってよい。一例では、ポリアルコールの末端に由来する2価基は、アルコキシ結合を含む。一例では、ポリチオールの末端に由来する2価基は、スルフィド結合を含む。一例では、ポリカルボン酸の末端に由来する2価基は、エステル結合を含む。一例では、ポリイソシアネートの末端に由来する2価基は、ウレタン結合を含む。一例では、ポリイソチオシアネートの末端に由来する2価基は、チオウレタン結合を含む。
 式(1)中のAを与え得る2価の有機基の例は、炭素数が2以上10以下である、置換されていてもよい炭化水素基g2を含む。置換されていてもよい炭化水素基g2の例は、直鎖状、分岐状又は環状の2価の炭化水素基を含む。直鎖状、分岐状又は環状の2価の炭化水素基の例は、直鎖状、分岐状又は環状のアルキレン基やアリーレン基を含み得る。上記直鎖状、分岐状又は環状のアルキレン基やアリーレン基は、それぞれ、分子中の少なくとも1つの水素原子がハロゲン等により置換されていてもよい。
<基板処理システムの構成例>
 図12は、例示的な実施形態にかかる基板処理システムSSの構成例を説明するためのブロック図である。基板処理システムSSは、第1のキャリアステーションCS1と、第1の処理ステーションPS1と、第1のインターフェイスステーションIS1と、露光装置EXと、第2のインターフェイスステーションIS2と、第2の処理ステーションPS2と、第2のキャリアステーションCS2と、制御部CTとを備える。
 第1のキャリアステーションCS1は、第1のキャリアステーションCS1と基板処理システムSSの外部のシステムとの間で第1のキャリアC1の搬入や搬出を行う。第1のキャリアステーションCS1は、複数の第1の載置板ST1を含む載置台を有する。各第1の載置板ST1上に、複数枚の基板Wを収容した状態又は空の状態の第1のキャリアC1が載置される。第1のキャリアC1は、複数枚の基板Wを内部に収容可能な筐体を有する。第1のキャリアC1は、一例では、FOUP(Front Opening Unified Pod)である。
 また、第1のキャリアステーションCS1は、第1のキャリアC1と第1の処理ステーションPS1との間で基板Wの搬送を行う。第1のキャリアステーションCS1は、第1の搬送装置HD1を更に備える。第1の搬送装置HD1は、第1のキャリアステーションCS1において、載置台と第1の処理ステーションPS1との間に位置するように、第1搬送装置HD1が設けられている。第1の搬送装置HD1は、各第1の載置板ST1上の第1のキャリアC1と、第1の処理ステーションPS1の第2の搬送装置HD2との間で基板Wの搬送及び受け渡しを行う。基板処理システムSSは、ロードロックモジュールを更に備えてよい。ロードロックモジュールは、第1のキャリアステーションCS1と第1の処理ステーションPS1との間に設けられ得る。ロードロックモジュールは、その内部の圧力を、大気圧又は真空に切り替えることができる。「大気圧」は、第1の搬送装置HD1の内部の圧力でありうる。「真空」は、大気圧よりも低い圧力であって、例えば0.1Pa~100Paの中真空であり得る。第2の搬送装置HD2の内部は大気圧又は真空であり得る。ロードロックモジュールは、例えば、大気圧である第1の搬送装置HD1から真空である第2の搬送装置HD2へ基板Wを搬送し、また真空である第2の搬送装置HD2から大気圧である第1の搬送装置HD1へ基板Wを搬送してよい。
 第1の処理ステーションPS1は、基板Wに対して各種処理を行う。一実施形態において、第1の処理ステーションPS1は、前処理モジュールPM1、レジスト膜形成モジュールPM2及び第1の熱処理モジュールPM3(以下あわせて「第1の基板処理モジュールPMa」ともいう。)を備える。また、第1の処理ステーションPS1は、基板Wを搬送する第2の搬送装置HD2を有する。第2の搬送装置HD2は、指定された2つの第1の基板処理モジュールPMaの間、及び、第1の処理ステーションPS1と第1のキャリアステーションCS1又は第1のインターフェイスステーションIS1との間で基板Wの搬送及び受け渡しを行う。
 前処理モジュールPM1において、基板Wに前処理が施される。一実施形態において、前処理モジュールPM1は、基板Wの温度を調整する温度調整ユニット、基板Wの温度を高精度に調整する高精度温調ユニット、基板W上に下地膜の一部又は全部を形成する下地膜形成ユニットを含む。一実施形態において、前処理モジュールPM1は、基板Wに表面改質処理を行う表面改質ユニットを含む。前処理モジュールPM1の各処理ユニットは、熱処理装置100(図1参照)、プラズマ処理装置1(図2及び図3参照)及び/又は液処理装置300(図4参照)を含んで構成されてよい。
 レジスト膜形成モジュールPM2において、基板Wにレジスト膜が形成される。一実施形態において、レジスト膜形成モジュールPM2は、ドライコーティングユニットを備える。ドライコーティングユニットは、気相堆積法等のドライプロセスを使用して基板W上にレジスト膜を形成する。ドライコーティングユニットは、一例では、チャンバ内に配置された基板W上に、レジスト膜を化学蒸着させるCVD装置若しくはALD装置又はレジスト膜を物理蒸着させるPVD装置を含む。ドライコーティングユニットは、熱処理装置100(図1参照)又はプラズマ処理装置1(図2及び図3参照)であってもよい。
 一実施形態において、レジスト膜形成モジュールPM2は、ウェットコーティングユニットを備える。ウェットコーティングユニットは、液相堆積法等のウェットプロセスを使用して基板W上にレジスト膜を形成する。ウェットコーティングユニットは、一例では、液処理装置300(図4参照)であってよい。
 一実施形態において、レジスト膜形成モジュールPM2の例は、ウェットコーティングユニットとドライコーティングユニットの双方を含む。
 第1の熱処理モジュールPM3において、基板Wに熱処理がされる。一実施形態において、第1の熱処理モジュールPM3は、レジスト膜が形成された基板Wに加熱処理を行うプリベーク(Post Apply Bake:PAB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第1のインターフェイスステーションIS1は、第3の搬送装置HD3を有する。第3の搬送装置HD3は、第1の処理ステーションPS1と露光装置EXとの間で基板Wの搬送及び受け渡しを行う。第3の搬送装置HD3は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 露光装置EXは、露光マスク(レチクル)を用いて基板W上のレジスト膜を露光する。露光装置EXは、例えば、EUV光を発生する光源を有するEUV露光装置でよい。
 第2のインターフェイスステーションIS2は、第4の搬送装置HD4を有する。第4の搬送装置HD4は、露光装置EXと第2の処理ステーションPS2との間で基板Wの搬送や受け渡しを行う。第4の搬送装置HD4は、基板Wを収容する筐体を有し、当該筐体内の温度、湿度、圧力等が制御可能に構成されてよい。
 第2の処理ステーションPS2は、基板Wに対して各種処理を行う。一実施形態において、第2の処理ステーションPS2は、第2の熱処理モジュールPM4、測定モジュールPM5、現像モジュールPM6及び第3の熱処理モジュールPM7(以下あわせて「第2の基板処理モジュールPMb」ともいう。)を備える。また、第2の処理ステーションPS2は、基板Wを搬送する第5の搬送装置HD5を有する。第5の搬送装置HD5は、指定された2つの第2の基板処理モジュールPMb間、及び、第2の処理ステーションPS2と第2のキャリアステーションCS2又は第2のインターフェイスステーションIS2との間で基板Wの搬送及び受け渡しを行う。
 第2の熱処理モジュールPM4において、基板Wに熱処理がされる。一実施形態において、熱処理モジュールPM4は、露光後の基板Wに加熱処理をするポストエクスポージャーベーク(Post Exposure Bake:PEB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 測定モジュールPM5において、基板Wに対して各種測定が行われる。一実施形態において、測定モジュールPM5は、基板Wを載置する載置台、撮像装置、照明装置及び各種センサ(温度センサ、反射率測定センサ等)を含む撮像ユニットを備える。撮像装置は、例えば、基板Wの外観を撮像するCCDカメラでよい。或いは、撮像装置は、光を波長ごとに分光して撮影するハイパースペクトルカメラでもよい。ハイパースペクトルカメラは、レジスト膜のパターン形状、寸法、膜厚、組成及び膜密度のいずれか1つ以上を測定し得る。
 現像モジュールPM6において、基板Wに現像処理がされる。一実施形態において、現像モジュールPM6は基板Wに対するドライ現像を行うドライ現像ユニットを備える。ドライ現像ユニットは、例えば、熱処理装置100(図1参照)やプラズマ処理装置1(図2及び図3参照)であってよい。一実施形態において、現像モジュールPM6は基板Wに対するウェット現像を行うウェット現像ユニットを備える。ウェット現像ユニットは、例えば、液処理装置300(図4)であってよい。一実施形態において、現像モジュールPM6は、ドライ現像ユニットとウェット現像ユニットの双方を備える。
 第3の熱処理モジュールPM7において、基板Wに熱処理が施される。一実施形態において、第3の熱処理モジュールPM7は、現像後の基板Wに加熱処理をするポストベーク(Post Bake:PB)ユニット、基板Wの温度を調整する温度調整ユニット及び基板Wの温度を高精度に調整する高精度温調ユニットのいずれか1つ以上を含む。これらの各ユニットは、それぞれ1又は複数の熱処理装置を有してよい。一例において、複数の熱処理装置は積層されていてよい。熱処理装置は、例えば、熱処理装置100(図1参照)であってよい。それぞれの熱処理は所定温度で所定のガスを用いて行われてよい。
 第2のキャリアステーションCS2は、第2のキャリアステーションCS2と基板処理システムSSの外部のシステムとの間で第2のキャリアC2の搬入及び搬出を行う。第2のキャリステーションCS2の構成及び機能は、上述した第1のキャリステーションCS1と同様であってよい。
 制御部CTは、基板処理システムSSの各構成を制御して、基板Wに所与の処理を実行する。制御部CTは、プロセスの手順、プロセスの条件、搬送条件等が設定されたレシピを格納しており、当該レシピに従って、基板Wに所与の処理を実行するように、基板処理システムSSの各構成を制御する。制御部CTは、各制御部(図1~図4に示す制御部200及び制御部2並びに制御部400)の一部又は全部の機能を兼ねてよい。
<基板処理方法の一例>
 図13は、例示的な実施形態にかかる基板処理方法(以下「方法MT」ともいう。)を示すフローチャートである。図13に示すように、方法MTは、基板に前処理を施す工程ST100と、基板にレジスト膜を形成する工程ST200と、レジスト膜が形成された基板に加熱処理(プリベーク:PAB)を施す工程ST300と、基板に対するEUV露光を行う工程ST400と、露光後の基板に加熱処理(ポストエクスポージャーベーク:PEB)を施す工程ST500と、基板の測定を行う工程ST600と、基板のレジスト膜を現像する工程ST700と、現像後の基板に加熱処理(ポストベーク:PB)を施す工程ST800と、基板をエッチングする工程ST900とを含む。方法MTは、上記各工程の1つ以上を含まなくてよい。例えば、方法MTは、工程ST600を含まなくてよく、工程ST500の後で工程ST700が実行されてよい。
 方法MTは、図12に示す基板処理システムSSを用いて実行されてよい。以下では、基板処理システムSSの制御部CTが基板処理システムSSの各部を制御して、基板Wに対して方法MTを実行する場合を例に説明する。
(工程ST100:前処理)
 まず、複数の基板Wを収容した第1のキャリアC1が、基板処理システムSSの第1のキャリアステーションCS1に搬入される。第1のキャリアC1は、第1の載置板ST1上に載置される。次に第1の搬送装置HD1により、第1のキャリアC1内の各基板Wが順次取り出され、第1の処理ステーションPS1の第2の搬送装置HD2に受け渡される。基板Wは、第2の搬送装置HD2により、前処理モジュールPM1に搬送される。前処理モジュールPM1により、基板Wに前処理が行われる。前処理は、例えば、基板Wの温度調整、基板Wの下地膜の一部又は全部の形成、基板Wの加熱処理及び基板Wの高精度温度調整の1つ以上を含んでよい。前処理は、その他の一例として、基板Wの表面改質処理を含んでよい。
(工程ST200:レジスト膜形成)
 次に、基板Wは、第2の搬送装置HD2により、レジスト膜形成モジュールPM2に搬送される。レジスト膜形成モジュールPM2により、基板W上にレジスト膜が形成される。一実施形態において、レジスト膜の形成は、液相堆積法等のウェットプロセスで行われる。例えば、レジスト膜形成モジュールPM2のウェットコーティングユニットを用いて、基板W上にレジスト膜をスピンコーティングすることで、レジスト膜が形成される。一実施形態において、基板Wへのレジスト膜の形成は、気相堆積法等のドライプロセスにより行われる。例えば、レジスト膜形成モジュールPM2のドライコーティングユニットを用いて、基板W上にレジスト膜を蒸着することで、レジスト膜が形成される。工程ST200におけるレジスト膜の形成は、本処理方法(図5参照)を用いて行われてよい。すなわち基板W上に、金属含有レジスト膜RMが形成されてよい。
 なお、基板Wへのレジスト膜の形成は、ドライプロセスとウェットプロセスの双方を用いて行われてもよい。例えば、ドライプロセスにより基板Wに第1のレジスト膜を形成した後で、ウェットプロセスにより第1のレジスト膜上に第2のレジスト膜を形成してよい。この場合、第1のレジスト膜と第2のレジスト膜の膜厚、材料及び/又は組成は、同一でも異なってもよい。
(工程ST300:PAB)
 次に、基板Wは、第2の搬送装置HD2により、第1の熱処理モジュールPM3に搬送される。第1の熱処理モジュールPM3により、基板Wに加熱処理(プリベーク:PAB)が施される。プリベークは、大気雰囲気で行ってもよく、不活性雰囲気でおこなってもよい。また、プリベークは、基板Wを50℃以上又は80℃以上に加熱することにより行ってよい。基板Wの加熱温度は、250℃以下、200℃以下又は150℃以下であってよい。一例において、基板の加熱温度は50℃以上、250℃以下であってよい。工程ST200においてドライプロセスでレジスト膜を形成する場合、一実施形態において、プリベークは工程ST200を実行したドライコーティングユニットで連続して実行されてよい。一実施形態において、プリベーク後に、基板Wの端部のレジスト膜を除去する処理(Edge Bead Removal:EBR)が施されてよい。
(工程ST400:EUV露光)
 次に、基板Wは、第2の搬送装置HD2により、第1のインターフェイスステーションIS1の第3の搬送装置HD3に受け渡される。そして基板Wは、第3の搬送装置HD3により、露光装置EXに搬送される。基板Wは、露光装置EXにおいて露光マスク(レチクル)を介してEUV露光がされる。EUVは、例えば、10~20nmの範囲の波長を有する。EUVは、11~14nmの範囲の波長を有してよく、一例では13.5nmの波長を有する。これにより、基板Wには、露光マスク(レチクル)のパターンに対応して、EUV露光された第1領域と、EUV露光されていない第2領域とが形成される。一実施形態において、第1領域の膜厚は、第2領域2の膜厚より小さくてよい。
(工程ST500:PEB)
 次に、基板Wは、第2のインターフェイスステーションIS2の第4の搬送装置HD4から第2の処理ステーションPS2の第5搬送装置HD5に受け渡される。そして基板Wは、第5の搬送装置HD5により、第2の熱処理モジュールPM4に搬送される。そして、基板Wには、第2の熱処理モジュールPM4において、加熱処理(ポストエクスポージャーベーク:PEB)が施される。ポストエクスポージャーベークは、大気雰囲気で行ってよい。また、ポストエクスポージャーベークは、基板Wを180℃以上250℃以下に加熱することにより行ってよい。 
(工程ST600:測定)
 次に、基板Wは、第5の搬送装置HD5により測定モジュールPM5に搬送される。測定モジュールPM5により、基板Wの測定がされる。測定は、光学的な測定であってもよく、他の測定であってもよい。一実施形態において、測定モジュールPM5による測定はCCDカメラを用いた基板Wの外観及び/又は寸法の測定を含む。一実施形態において、測定モジュールPM5による測定はハイパースペクトルカメラを用いたレジスト膜のパターン形状、寸法、膜厚、組成、膜密度のいずれか1つ以上(以下「パターン形状等」ともいう。)の測定を含む。
 一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの露光異常の有無を判定する。一実施形態において、制御部CTにおいて露光異常があると判定された場合、工程ST700による現像行うことなく、基板Wのリワークまたは破棄が行われてよい。基板Wのリワークは、基板W上のレジストを除去し、再度、工程ST200に戻ってレジスト膜を形成することで行なってよい。現像後のリワークは基板Wへの損傷を伴うことがあるが、現像前にリワークを行うことで、基板Wへの損傷を回避又は抑制し得る。
(工程ST700:現像)
 次に、基板Wは、第5の搬送装置HD5により、現像モジュールPM6に搬送される。現像モジュールPM6において、基板Wのレジスト膜が現像される。現像によりEUV露光された第1領域又はEUV露光されていない第2領域のいずれかが選択的に除去される。現像処理は、ドライ現像で行ってよく、またウェット現像で行ってもよい。現像処理は、ドライ現像とウェット現像を組み合わせて行ってもよい。現像処理の後で又は現像処理の間に、脱離(desorption)処理が1回以上実行されてよい。脱離処理は、ヘリウム等の不活性ガス又は当該不活性ガスのプラズマにより、レジスト膜の表面からスカムを除去する(descum)又は表面を滑らかにする(smoothing)ことを含む。現像処理は、基板Wを100℃以上350℃以下に加熱することにより行ってもよい。ドライ現像処理は、圧力を10Torr以下にすることにより行ってもよい。現像処理は、0.5分以上2時間以下で行ってもよい。上記のとおり、一実施形態において、本処理方法は、現像方法の一部として実施することもできる。すなわち、一実施形態において、現像方法は、(a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程と、(b)露光マスクを介して前記金属含有レジストを露光し、前記金属含有レジストに、露光された第1領域と、露光されていない第2領域と、を形成する工程と、(c)前記第1領域及び前記第2領域の一方を選択的に除去する工程と、を含み、前記(a)における金属含有レジストは、分子中に上記式(1)で表される繰り返し単位を有する化合物を含む。
(工程ST800:PB)
 次に、基板Wは、第5の搬送装置HD5により、第3の熱処理モジュールPM7に搬送され、加熱処理(ポストベーク)が施される。ポストベークは、大気雰囲気で行ってよく、N又はOを含む減圧雰囲気で行ってもよい。また、ポストベークは、基板Wを150℃以上250℃以下に加熱することにより行ってよい。ポストベークは、第3の熱処理モジュールPM7に代えて、第2の熱処理モジュールPM4で行ってもよい。一実施形態において、ポストベーク後に、測定モジュールPM4PM5により基板Wの光学的な測定がされてよい。かかる測定は、工程ST600における測定に加えてまたは工程ST600における測定に代えて実行されてよい。一実施形態において、制御部CTは、測定された基板Wの外観や寸法、及び/又は、パターン形状等に基づいて、当該基板Wの現像パターンの欠陥、傷、異物の付着等の異常の有無等を判定する。一実施形態において、制御部CTにおいて異常があると判定された場合、工程ST900によるエッチングを行うことなく、基板Wのリワークまたは破棄が行われてよい。一実施形態において、制御部CTにおいて異常があると判断された場合、ドライコーティングユニット(CVD装置、ALD装置等)を用いて基板Wのレジスト膜の開口寸法が調整されてよい。
(工程ST900:エッチング)
 工程ST800の実行後、基板Wは、第5の搬送装置HD5により第2のキャリアステーションCS2の第6の搬送装置HD6に受け渡され、第6の搬送装置HD6により第2の載置板ST2の第2のキャリアC2に搬送される。その後、第2のキャリアC2はプラズマ処理システム(図示せず)に搬送される。プラズマ処理システムは、例えば、図2及び図3で示したプラズマ処理システムであってよい。プラズマ処理システムにおいて、現像後のレジスト膜をマスクとして基板Wの下地膜UFがエッチングされる。以上により、方法MTが終了する。なお、工程ST700において、プラズマ処理装置を用いてレジスト膜を現像する場合、エッチングは、当該プラズマ処理装置のプラズマ処理チャンバ内で続けて実行されてよい。また、第2の処理ステーションPS2が、現像モジュールPM6に加えて、プラズマ処理モジュールを備える場合には、エッチングは、当該プラズマ処理モジュール内で実行されてもよい。エッチングの前に又はエッチングの間に上述した脱離処理が1回以上実行されてよい。上記のとおり、一実施形態において、本処理方法は、エッチング方法の一部として実施することもできる。すなわち、一実施形態において、(a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程であって、ここで、前記金属含有レジストは少なくとも一つの開口を有する、工程と、(b)前記開口を介して、前記下地膜をエッチングする工程と、を含み、前記金属含有レジストは、分子中に上記式(1)で表される繰り返し単位を有する化合物を含む。
 本開示の実施形態は、以下の態様をさらに含む。
(付記1)
 基板処理方法であって、
 (a)下地膜を有する基板を提供する工程と、
 (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する工程と、
 を有する、基板処理方法。
(付記2)
 前記金属含有プリカーサが、アミン基及び/又はアルコキシ基を有する化合物(α)を含む、付記1に記載の基板処理方法。
(付記3)
 前記化合物(α)が、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含む、付記2に記載の基板処理方法。
(付記4)
 前記化合物(α1)が、Snを含む、付記3に記載の基板処理方法。
(付記5)
 前記感光性基が、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含む、付記1~付記4のいずれか1つに記載の基板処理方法。
(付記6)
 前記多官能性化合物が、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む、付記1~付記5のいずれか1つに記載の基板処理方法。
(付記7)
 前記(b)の工程において、HO、H、O、及びOからなる群から選択される少なくとも1つをさらに用いる、付記1~付記6のいずれか1つに記載の基板処理方法。
(付記8)
 前記(b)の工程が、
 (b1)前記下地膜上に、前記金属含有プリカーサを含むガスを供給して、金属含有プリカーサ膜を形成する工程と、
 (b2)前記金属含有プリカーサ膜に、前記多官能性化合物を含むガスを供給して、前記金属含有プリカーサ膜から前記金属含有レジスト膜を形成する工程と、
 を含む、付記1~付記7のいずれか1つに記載の基板処理方法。
(付記9)
 前記(b1)及び(b2)の工程を複数回繰り返す、付記8に記載の基板処理方法。
(付記10)
 前記(b)の工程が、前記金属含有プリカーサと前記多官能性化合物とを含む混合ガスを用いて、前記金属含有レジスト膜を形成することを含む、付記1~付記9のいずれか1つに記載の基板処理方法。
(付記11)
 前記金属含有プリカーサが、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む金属錯体を含む、付記1~付記10のいずれか1つに記載の基板処理方法。
(付記12)
 前記多官能性化合物が、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む、付記1~付記11のいずれか1つに記載の基板処理方法。
(付記13)
 前記(b)の工程が、
 前記下地膜上に、前記金属含有プリカーサと前記多官能性化合物を含む溶液を塗布する工程と、
 塗布された前記溶液を加熱し、金属含有レジスト膜を形成する工程と、
 を含む、付記1~付記12のいずれか1つに記載の基板処理方法。
(付記14)
 (c)前記(b)の工程の後、前記基板を露光して、前記金属含有レジスト膜に、露光された第1領域と、露光されていない第2領域とを形成する工程と、
 (d)前記基板を現像して、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、
 をさらに含む、付記1~付記13のいずれか1つに記載の基板処理方法。
(付記15)
 前記(d)の工程において、弱酸を含む現像ガス又は現像液により、前記第2領域を除去する、付記14に記載の基板処理方法。
(付記16)
 前記弱酸が、酸解離定数(pKa)が16未満の有機酸を含む、付記15に記載の基板処理方法。
(付記17)
 前記有機酸が、アルコール、チオール、カルボン酸、スルホン酸、β-ジカルボニル化合物、アルキルカーボネート及びアゾールからなる群から選択される少なくとも1種を含む、付記16に記載の基板処理方法。
(付記18)
 感光性基を有する金属含有プリカーサと、
 多官能性化合物と、
 を含み、
 前記金属含有プリカーサが、感光性基と、アミン基及び/又はアルコキシ基と、を有する化合物を含む、金属含有レジスト形成用組成物。
(付記19)
 分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、金属含有レジスト。
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
(付記20)
 1又は複数の基板処理装置と、制御部と、を有する基板処理システムであって、
 前記制御部は、前記1又は複数の基板処理装置に対して、
  (a)下地膜を有する基板を提供する制御と、
  (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する制御と、
 を実行するように構成される、基板処理システム。
(付記21)
 デバイス製造方法であって、
 (a)下地膜を有する基板を提供する工程と、
 (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する工程と、
 を有する、デバイス製造方法。
(付記22)
 1又は複数の基板処理装置と制御部とを有する基板処理システムのコンピュータに、
 (a)下地膜を有する基板を提供する制御と、
 (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する制御と、
 を実行させるプログラム。
(付記23)
 付記22に記載のプログラムを格納した、記憶媒体。
(付記24)
 (a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程と、
 (b)露光マスクを介して前記金属含有レジストを露光し、前記金属含有レジストに、露光された第1領域と、露光されていない第2領域と、を形成する工程と、
 (c)前記第1領域及び前記第2領域の一方を選択的に除去する工程と、
 を含み、
 前記(a)における金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、現像方法。
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
(付記25)
 (a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程であって、ここで、前記金属含有レジストは少なくとも一つの開口を有する、工程と、
 (b)前記開口を介して、前記下地膜をエッチングする工程と、
 を含み、
 前記金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、エッチング方法。
  -(M-X-A-X)-  (1)
(上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
 以上の各実施形態は、説明の目的で記載されており、本開示の範囲を限定することを意図するものではない。各実施形態は、本開示の範囲及び趣旨から逸脱することなく種々の変形をなし得る。例えば、ある実施形態における一部の構成要素を、他の実施形態に追加することができる。また、ある実施形態における一部の構成要素を、他の実施形態の対応する構成要素と置換することができる。
1……プラズマ処理装置、2……制御部、10……プラズマ処理チャンバ、1……基板支持部、20……ガス供給部、30……電源、100……熱処理装置、102……処理チャンバ、120……ステージヒータ、121……基板支持部、141……ガスノズル、200……制御部、300……液処理装置、311……スピンチャック、321……カップ、331……処理液供給ノズル、351……洗浄液供給ノズル、400……制御部、RM……金属含有レジスト膜、UF……下地膜、W……基板

Claims (22)

  1.  基板処理方法であって、
     (a)下地膜を有する基板を提供する工程と、
     (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する工程と、
     を有する、基板処理方法。
  2.  前記金属含有プリカーサが、アミン基及び/又はアルコキシ基を有する化合物(α)を含む、請求項1に記載の基板処理方法。
  3.  前記化合物(α)が、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む化合物(α1)を含む、請求項2に記載の基板処理方法。
  4.  前記化合物(α1)が、Snを含む、請求項3に記載の基板処理方法。
  5.  前記感光性基が、メチル基、エチル基、n-プロピル基、n-ブチル基、i-プロピル基、t-ブチル基及び-CH(ここで、xは0~2の整数を表し、yは1~3の整数を表す。)からなる群から選択される少なくとも1種の基を含む、請求項1に記載の基板処理方法。
  6.  前記多官能性化合物が、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む、請求項2に記載の基板処理方法。
  7.  前記(b)の工程において、HO、H、O、及びOからなる群から選択される少なくとも1つをさらに用いる、請求項1に記載の基板処理方法。
  8.  前記(b)の工程が、
     (b1)前記下地膜上に、前記金属含有プリカーサを含むガスを供給して、金属含有プリカーサ膜を形成する工程と、
     (b2)前記金属含有プリカーサ膜に、前記多官能性化合物を含むガスを供給して、前記金属含有プリカーサ膜から前記金属含有レジスト膜を形成する工程と、
     を含む、請求項1に記載の基板処理方法。
  9.  前記(b1)及び(b2)の工程を複数回繰り返す、請求項8に記載の基板処理方法。
  10.  前記(b)の工程が、前記金属含有プリカーサと前記多官能性化合物とを含む混合ガスを用いて、前記金属含有レジスト膜を形成することを含む、請求項1に記載の基板処理方法。
  11.  前記金属含有プリカーサが、Sn、Ti、Hf、Zr及びInからなる群から選択される少なくとも1種の金属を含む金属錯体を含む、請求項1に記載の基板処理方法。
  12.  前記多官能性化合物が、ポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート及びポリイソチオシアネートからなる群から選択される少なくとも1種の化合物(β)を含む、請求項11に記載の基板処理方法。
  13.  前記(b)の工程が、
     前記下地膜上に、前記金属含有プリカーサと前記多官能性化合物を含む溶液を塗布する工程と、
     塗布された前記溶液を加熱し、金属含有レジスト膜を形成する工程と、
     を含む、請求項11に記載の基板処理方法。
  14.  (c)前記(b)の工程の後、前記基板を露光して、前記金属含有レジスト膜に、露光された第1領域と、露光されていない第2領域とを形成する工程と、
     (d)前記基板を現像して、前記金属含有レジスト膜から前記第2領域を選択的に除去する工程と、
     をさらに含む、請求項1に記載の基板処理方法。
  15.  前記(d)の工程において、弱酸を含む現像ガス又は現像液により、前記第2領域を除去する、請求項14に記載の基板処理方法。
  16.  前記弱酸が、酸解離定数(pKa)が16未満の有機酸を含む、請求項15に記載の基板処理方法。
  17.  前記有機酸が、アルコール、チオール、カルボン酸、スルホン酸、β-ジカルボニル化合物、アルキルカーボネート及びアゾールからなる群から選択される少なくとも1種を含む、請求項16に記載の基板処理方法。
  18.  感光性基を有する金属含有プリカーサと、
     多官能性化合物と、
     を含み、
     前記金属含有プリカーサが、感光性基と、アミン基及び/又はアルコキシ基と、を有する化合物を含む、金属含有レジスト形成用組成物。
  19.  分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、金属含有レジスト。
      -(M-X-A-X)-  (1)
    (上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
  20.  1又は複数の基板処理装置と、制御部と、を有する基板処理システムであって、
     前記制御部は、前記1又は複数の基板処理装置に対して、
      (a)下地膜を有する基板を提供する制御と、
      (b)感光性基を有する金属含有プリカーサと、多官能性化合物とを用いて、前記下地膜上に金属含有レジスト膜を形成する制御と、
     を実行するように構成される、基板処理システム。
  21.  (a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程と、
     (b)露光マスクを介して前記金属含有レジストを露光し、前記金属含有レジストに、露光された第1領域と、露光されていない第2領域と、を形成する工程と、
     (c)前記第1領域及び前記第2領域の一方を選択的に除去する工程と、
     を含み、
     前記(a)における金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、現像方法。
      -(M-X-A-X)-  (1)
    (上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
  22.  (a)下地膜と、前記下地膜上の金属含有レジストと、を有する基板を提供する工程であって、ここで、前記金属含有レジストは少なくとも一つの開口を有する、工程と、
     (b)前記開口を介して、前記下地膜をエッチングする工程と、
     を含み、
     前記金属含有レジストは、分子中に下記式(1)で表される繰り返し単位を有する化合物を含む、エッチング方法。
      -(M-X-A-X)-  (1)
    (上記式(1)中、MはSn、Ti、Hf、Zr又はInを表し、Xはポリアルコール、ポリチオール、ポリカルボン酸、ポリイソシアネート又はポリイソチオシアネートの末端官能基に由来する2価基を表し、Aは、炭素数が2以上10以下である2価の有機基を表す。)
PCT/JP2023/038633 2022-11-08 2023-10-26 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム WO2024101166A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-178903 2022-11-08
JP2022178903 2022-11-08

Publications (1)

Publication Number Publication Date
WO2024101166A1 true WO2024101166A1 (ja) 2024-05-16

Family

ID=91032730

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/038633 WO2024101166A1 (ja) 2022-11-08 2023-10-26 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム

Country Status (1)

Country Link
WO (1) WO2024101166A1 (ja)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022016123A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Dry deposited photoresists with organic co-reactants
JP2022539721A (ja) * 2019-06-27 2022-09-13 ラム リサーチ コーポレーション フォトレジスト乾式蒸着のための装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022539721A (ja) * 2019-06-27 2022-09-13 ラム リサーチ コーポレーション フォトレジスト乾式蒸着のための装置
WO2022016123A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Dry deposited photoresists with organic co-reactants

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
US20230107357A1 (en) Process tool for dry removal of photoresist
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
WO2005047564A2 (en) Method of improving post-develop photoresist profile on a deposited dielectric film
WO2024101166A1 (ja) 基板処理方法、金属含有レジスト形成用組成物、金属含有レジスト及び基板処理システム
WO2024070834A1 (ja) 基板処理方法及び基板処理システム
WO2024024922A1 (ja) 基板処理方法及び基板処理装置
WO2024070833A1 (ja) 基板処理方法及び基板処理システム
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
TW202414112A (zh) 基板處理方法及基板處理系統
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
WO2023009364A1 (en) Rework of metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
WO2024006938A1 (en) Cyclic development of metal oxide based photoresist for etch stop deterrence
WO2023009336A1 (en) Multi-step post-exposure treatment to improve dry development performance of metal-containing resist
TW202240660A (zh) 基板處理方法及基板處理裝置
TW202244312A (zh) 基板處理方法