WO2012115043A1 - パターン形成方法及び半導体装置の製造方法 - Google Patents

パターン形成方法及び半導体装置の製造方法 Download PDF

Info

Publication number
WO2012115043A1
WO2012115043A1 PCT/JP2012/053986 JP2012053986W WO2012115043A1 WO 2012115043 A1 WO2012115043 A1 WO 2012115043A1 JP 2012053986 W JP2012053986 W JP 2012053986W WO 2012115043 A1 WO2012115043 A1 WO 2012115043A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
pattern
silicon nitride
wafer
nitride film
Prior art date
Application number
PCT/JP2012/053986
Other languages
English (en)
French (fr)
Inventor
拓 石川
林 輝幸
松岡 孝明
小野 裕司
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US14/000,643 priority Critical patent/US8809207B2/en
Priority to KR1020137022018A priority patent/KR101393185B1/ko
Publication of WO2012115043A1 publication Critical patent/WO2012115043A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Definitions

  • the present invention relates to a pattern forming method for forming a predetermined pattern on a film to be processed on a substrate and a method for manufacturing a semiconductor device.
  • a resist coating process for forming a resist film by applying a resist solution on a semiconductor wafer for example, a resist coating process for forming a resist film by applying a resist solution on a semiconductor wafer (hereinafter referred to as “wafer”), an exposure process for exposing a predetermined pattern on the resist film, A photolithography process for sequentially performing a development process for developing the exposed resist film is performed to form a predetermined resist pattern on the wafer. Then, using the resist pattern as a mask, an etching process is performed on the film to be processed on the wafer, and then a resist film removing process is performed to form a predetermined pattern on the film to be processed.
  • the pattern of the film to be processed in order to further increase the integration density of the semiconductor device, in recent years, the pattern of the film to be processed has been required to be miniaturized. For this reason, for example, the wavelength of light used for exposure processing has been shortened, but due to technical and cost limitations, a pattern of a fine film to be processed, for example, on the order of several nanometers is formed. Is in a difficult situation.
  • an SWT (Side Wall Transfer) method which is one of so-called double patterning, which uses a silicon oxide film (SiO 2 film) or the like as a sacrificial film and forms masks on both side wall portions of the resist pattern. It has been proposed to use.
  • a film to be processed is patterned at a finer pitch than a resist pattern formed on a wafer by first performing a photolithography process. That is, in this method, first, a silicon oxide film is formed on the resist pattern under a temperature environment of, for example, about 300 ° C. or less, and the silicon oxide film is etched so that the silicon oxide film remains only on the side wall of the resist pattern. To do.
  • the resist pattern is removed, and a silicon oxide film pattern is formed on the film to be processed of the wafer.
  • the film to be processed is etched to form a fine pattern of the film to be processed on the wafer (Patent Document 1).
  • the film stress of the silicon oxide film increases (for example, several hundred PMa). Then, when the silicon oxide film is etched so that the silicon oxide film remains only on the side walls of the resist pattern, the resist pattern may be deformed by the film stress of the silicon oxide film. Further, when the resist pattern is subsequently removed, the silicon oxide film may be broken without being able to maintain a predetermined shape. Therefore, the silicon oxide film cannot be formed in a predetermined pattern, and a fine pattern of the film to be processed cannot be formed on the wafer.
  • the resist pattern since the resist pattern is made of an organic material, the resist pattern may be damaged when the temperature of the wafer reaches a high temperature of about 100 ° C. or higher.
  • the resist pattern since the silicon oxide film is formed on the resist pattern under a temperature environment of about 300 ° C. or less, for example, the resist pattern may be damaged. As a result, the silicon oxide film cannot be formed in a predetermined pattern.
  • the silicon oxide film when used as a mask when etching the film to be processed, the silicon oxide film cannot be used as the film to be processed, and the selectivity with respect to a film other than the silicon oxide film is often low. . Therefore, in this case, the film used as the film to be processed is limited.
  • the present invention has been made in view of this point, and appropriately forms a mask for etching a film to be processed on a substrate in a predetermined pattern in a low temperature environment where the temperature of the substrate is 100 ° C. or lower. With the goal.
  • the present invention provides a pattern forming method for forming a predetermined pattern that serves as a mask when etching a film to be processed on a substrate, and a pattern of an organic film on the film to be processed on the substrate.
  • Forming an organic film pattern forming a silicon nitride film on the organic film pattern, and then leaving the silicon nitride film only on the sidewall of the organic film pattern.
  • Etching the silicon nitride film, removing the pattern of the organic film, and forming the predetermined pattern of the silicon nitride film on the processing target film of the substrate In the film forming step, in a state where the temperature of the substrate is maintained at 100 ° C. or lower, a processing gas is excited to generate plasma, and plasma processing using the plasma is performed.
  • the silicon nitride film is formed in a state where the temperature of the substrate is maintained at a low temperature of 100 ° C. or lower, so that the organic film pattern can be prevented from being damaged.
  • the silicon nitride film has a low stress of 100 MPa or less, the organic film pattern is not deformed in the silicon nitride film pattern forming step, and the silicon nitride film is a predetermined film even after the organic film pattern is removed. The shape can be maintained. Therefore, the silicon nitride film that serves as a mask when etching the film to be processed on the substrate can be appropriately formed in a predetermined pattern.
  • the silicon nitride film has a higher selectivity than the processing target film compared to the conventional silicon oxide film. Therefore, when a silicon nitride film is used as a mask, the application range of the film to be processed that can be etched is wide.
  • a method for manufacturing a semiconductor device wherein an organic film pattern forming step of forming an organic film pattern on a substrate film to be processed, and then the substrate temperature is maintained at 100 ° C.
  • Forming a silicon nitride film having a film stress of 100 MPa or less on the pattern of the organic film by generating plasma by exciting a processing gas in the state and performing plasma treatment with the plasma; and thereafter
  • the silicon nitride film is etched so that the silicon nitride film remains only on the sidewalls of the organic film pattern, and then the organic film pattern is removed, and the silicon nitride film on the film to be processed is removed.
  • a silicon nitride film pattern forming step for forming a predetermined pattern, and performing a pattern forming method to form a pattern of the silicon nitride film on the processing target film of the substrate After forming the, by etching the target film on the substrate a pattern of the silicon nitride film as a mask, to produce a semiconductor device.
  • a mask for etching a film to be processed on a substrate can be appropriately formed in a predetermined pattern in a low temperature environment where the temperature of the substrate is 100 ° C. or lower.
  • 6 is a graph showing the relationship between the supply flow rate of hydrogen gas and the film stress of a silicon nitride film when the plasma film forming method according to the present embodiment is used. 6 is a graph showing the relationship between the microwave power and the film stress of the silicon nitride film when the plasma film forming method according to the present embodiment is used. It is explanatory drawing which showed typically the state of the wafer in each process of the wafer processing concerning other embodiment, (a) shows a mode that the resist pattern was formed, (b) is the resist pattern trimmed The antireflection film is etched, (c) shows the silicon nitride film formed, and (d) shows the silicon nitride film pattern formed. It is a top view which shows the outline of a structure of the 2nd process part concerning other embodiment. It is explanatory drawing which shows a mode that the pattern of the to-be-processed film was formed on the wafer.
  • FIG. 1 is a plan view schematically showing the configuration of a substrate processing system 1 for carrying out the pattern forming method according to the present embodiment. Note that a film to be processed, such as a polysilicon film, is formed in advance on a wafer W as a substrate to be processed by the substrate processing system 1 of the present embodiment, as will be described later.
  • the substrate processing system 1 includes a first processing unit 11 and a second processing unit 12 that perform predetermined processing on the wafer W as shown in FIG.
  • a photolithography process is performed on the wafer W to form a resist pattern on the wafer W.
  • a silicon nitride film (SiN film) pattern is formed on the processing target film of the wafer W.
  • the first processing section 11 has a coating and developing treatment apparatus 20 and an exposure apparatus 21 as shown in FIG.
  • the coating and developing apparatus 20 includes, for example, a cassette station 30 in which a cassette C containing a plurality of wafers W is carried in and out, and a plurality of various types that perform predetermined processing in a single-wafer type during photolithography processing.
  • a processing station 31 including a processing apparatus and an interface station 32 that transfers the wafer W between the exposure apparatus 21 adjacent to the processing station 31 are integrally connected.
  • the cassette station 30 is provided with a cassette mounting table 40.
  • the cassette mounting table 40 is provided with a plurality of, for example, four cassette mounting portions 41.
  • the cassette mounting portions 41 are provided in a line in the horizontal X direction (vertical direction in FIG. 2).
  • the cassette C can be placed on these cassette placement portions 41 when the cassette C is carried in and out of the coating and developing treatment apparatus 20.
  • the cassette station 30 is provided with a wafer transfer device 51 that is movable on a transfer path 50 extending in the X direction.
  • the wafer transfer device 51 is also movable in the vertical direction and the vertical axis direction ( ⁇ direction), and includes a cassette C on each cassette mounting portion 41 and a delivery device for a third block G3 of the processing station 31 described later.
  • the wafer W can be transferred between the two.
  • the processing station 31 is provided with a plurality of, for example, four blocks G1, G2, G3, and G4 having various devices.
  • the first block G1 is provided on the front side of the processing station 31 (X direction negative direction side in FIG. 2), and the second side is provided on the back side of the processing station 31 (X direction positive direction side in FIG. 2).
  • Block G2 is provided.
  • a third block G3 is provided on the cassette station 30 side (Y direction negative direction side in FIG. 2) of the processing station 31, and the processing station 31 interface station 32 side (Y direction positive direction side in FIG. 2). Is provided with a fourth block G4.
  • a plurality of liquid processing apparatuses such as a developing apparatus 60 for developing the wafer W, and an antireflection film forming an antireflection film under the resist film of the wafer W are formed.
  • the apparatus 61 and resist coating apparatuses 62 and 63 for forming a resist film by applying a resist solution to the wafer W are stacked in four stages in order from the bottom.
  • each of the devices 60 to 63 in the first block G1 has a plurality of cups F that accommodate the wafers W in the horizontal direction during processing, and can process the plurality of wafers W in parallel.
  • a heat treatment apparatus 70 for performing heat treatment of the wafer W, an adhesion apparatus 71 for hydrophobizing the wafer W, and a peripheral exposure apparatus 72 for exposing the outer periphery of the wafer W are provided. They are arranged side by side in the vertical and horizontal directions.
  • the heat treatment apparatus 70 includes a hot plate for placing and heating the wafer W and a cooling plate for placing and cooling the wafer W, and can perform both heat treatment and cooling treatment.
  • the number and arrangement of the heat treatment apparatus 70, the adhesion apparatus 71, and the peripheral exposure apparatus 72 can be arbitrarily selected.
  • a plurality of delivery devices 80, 81, 82, 83, 84, 85, 86 are provided in order from the bottom.
  • the fourth block G4 is provided with a plurality of delivery devices 90, 91, 92 in order from the bottom.
  • a wafer transfer area D is formed in an area surrounded by the first block G1 to the fourth block G4.
  • a wafer transfer apparatus 100 is disposed.
  • the wafer transfer apparatus 100 has, for example, a transfer arm that is movable in the Y direction, the X direction, the ⁇ direction, and the vertical direction.
  • the wafer transfer apparatus 100 moves in the wafer transfer area D and transfers the wafer W to a predetermined apparatus in the surrounding first block G1, second block G2, third block G3, and fourth block G4. it can.
  • a plurality of wafer transfer apparatuses 100 are arranged in the vertical direction, and can transfer the wafer W to a predetermined apparatus having the same height of each of the blocks G1 to G4, for example.
  • a shuttle transfer device 110 that transfers the wafer W linearly between the third block G3 and the fourth block G4 is provided.
  • the shuttle transport device 110 is linearly movable in the Y direction, for example.
  • the shuttle transfer device 110 moves in the Y direction while supporting the wafer W, and can transfer the wafer W between the transfer device 82 of the third block G3 and the transfer device 92 of the fourth block G4.
  • a wafer transfer device 120 is provided next to the third block G3 on the positive side in the X direction.
  • the wafer transfer device 120 has a transfer arm that is movable in the X direction, the ⁇ direction, and the vertical direction, for example.
  • the wafer transfer device 120 can move up and down while supporting the wafer W, and can transfer the wafer W to each delivery device in the third block G3.
  • a wafer transfer device 130 and a delivery device 131 are provided in the interface station 32.
  • the wafer transfer device 130 has a transfer arm that is movable in the Y direction, ⁇ direction, and vertical direction, for example.
  • the wafer transfer device 130 can support the wafer W on the transfer arm and transfer the wafer W to each transfer device and transfer device 131 in the fourth block G4.
  • the second processing unit 12 includes a cassette station 200 that loads and unloads the wafer W with respect to the second processing unit 12, a common transfer unit 201 that transfers the wafer W, and a predetermined load on the wafer W.
  • An etching apparatus 202, a plasma film forming apparatus 203, an etching apparatus 204, and an ashing apparatus 205 that perform processing are included.
  • the cassette station 200 has a transfer chamber 211 in which a wafer transfer mechanism 210 for transferring the wafer W is provided.
  • the wafer transfer mechanism 210 has two transfer arms 210a and 210b that hold the wafer W substantially horizontally, and is configured to transfer the wafer W while holding it by either of the transfer arms 210a and 210b.
  • a cassette mounting table 212 on which a cassette C capable of accommodating a plurality of wafers W arranged side by side is mounted on the side of the transfer chamber 211. In the illustrated example, a plurality of, for example, three cassettes C can be mounted on the cassette mounting table 212.
  • the transfer chamber 211 and the common transfer unit 201 are connected to each other via two load lock devices 213a and 213b that can be evacuated.
  • the common transfer unit 201 includes a transfer chamber chamber 214 having a sealable structure formed to have a substantially polygonal shape (hexagonal shape in the illustrated example) when viewed from above, for example.
  • a wafer transfer mechanism 215 that transfers the wafer W is provided in the transfer chamber 214.
  • the wafer transfer mechanism 215 has two transfer arms 215a and 215b that hold the wafer W substantially horizontally, and is configured to transfer the wafer W while holding it by either of the transfer arms 215a and 215b. .
  • An etching apparatus 202, a plasma film forming apparatus 203, an etching apparatus 204, an ashing apparatus 205, and load lock apparatuses 213 b and 213 a are arranged outside the transfer chamber chamber 214 so as to surround the periphery of the transfer chamber chamber 214.
  • the etching apparatus 202, the plasma film forming apparatus 203, the etching apparatus 204, the ashing apparatus 205, and the load lock apparatuses 213 b and 213 a are arranged in this order in the clockwise direction in plan view, and the six side surfaces of the transfer chamber chamber 214 Are arranged so as to face each other.
  • the configuration of the plasma film forming apparatus 203 will be described in detail later. Further, as the etching apparatuses 202 and 204 and the ashing apparatus 205 which are other processing apparatuses, general apparatuses may be used, and description of the configuration is omitted.
  • the plasma film forming apparatus 203 of this embodiment is a CVD (Chemical Vapor Deposition) apparatus that generates plasma using an RLSA (radial line slot antenna).
  • CVD Chemical Vapor Deposition
  • the plasma film forming apparatus 203 includes, for example, a bottomed cylindrical processing container 230 having an open top surface.
  • the processing container 230 is made of, for example, an aluminum alloy.
  • the processing container 230 is grounded.
  • a mounting table 231 for mounting a wafer W, for example, is provided at a substantially central portion of the bottom of the processing container 230.
  • an electrode plate 232 is incorporated in the mounting table 231, and the electrode plate 232 is connected to a DC power source 233 provided outside the processing container 230.
  • the DC power source 233 can generate electrostatic force on the surface of the mounting table 231 to electrostatically attract the wafer W onto the mounting table 231.
  • the electrode plate 232 may be connected to, for example, a bias high frequency power source (not shown).
  • a dielectric window 241 is provided in the upper opening of the processing container 230 via a sealing material 240 such as an O-ring for ensuring airtightness, for example.
  • the inside of the processing container 230 is closed by the dielectric window 241.
  • a radial line slot antenna 242 that supplies microwaves for plasma generation is provided on the top of the dielectric window 241.
  • alumina Al 2 O 3
  • the dielectric window 241 is resistant to nitrogen trifluoride (NF 3 ) gas used in dry cleaning.
  • NF 3 nitrogen trifluoride
  • the surface of alumina of the dielectric window 241 may be coated with yttria (Y 2 O 3 ).
  • the radial line slot antenna 242 includes a substantially cylindrical antenna body 250 having an open bottom surface.
  • a disc-shaped slot plate 251 in which a large number of slots are formed is provided in the opening on the lower surface of the antenna body 250.
  • a dielectric plate 252 made of a low-loss dielectric material is provided on the upper portion of the slot plate 251 in the antenna body 250.
  • a coaxial waveguide 254 leading to the microwave oscillation device 253 is connected to the upper surface of the antenna body 250.
  • the microwave oscillating device 253 is installed outside the processing container 230 and can oscillate microwaves of a predetermined frequency, for example, 2.45 GHz, with respect to the radial line slot antenna 242.
  • the microwave oscillated from the microwave oscillating device 253 is propagated in the radial line slot antenna 242, compressed by the dielectric plate 252 and shortened in wavelength, and then circularly polarized by the slot plate 251. And radiated from the dielectric window 241 into the processing container 230.
  • a substantially flat source gas supply structure 260 is provided between the mounting table 231 and the radial line slot antenna 242 in the processing container 230.
  • the source gas supply structure 260 is formed in a circular shape whose outer shape is at least larger than the diameter of the wafer W when viewed from above.
  • the inside of the processing vessel 230 is partitioned into a plasma generation region R1 on the radial line slot antenna 242 side and a source gas dissociation region R2 on the mounting table 231 side.
  • alumina is used for the source gas supply structure 260.
  • the source gas supply structure 260 is resistant to nitrogen trifluoride gas used in dry cleaning.
  • the alumina surface of the raw material gas supply structure 260 may be coated with yttria.
  • the raw material gas supply structure 260 is constituted by a continuous raw material gas supply pipe 261 arranged in a substantially lattice pattern on the same plane as shown in FIG.
  • the source gas supply pipe 261 has a rectangular longitudinal section when viewed from the axial direction.
  • a large number of openings 262 are formed in the gaps between the source gas supply pipes 261.
  • the plasma and radicals generated in the plasma generation region R1 on the upper side of the source gas supply structure 260 can pass through the opening 262 and enter the source gas dissociation region R2 on the mounting table 231 side.
  • a large number of source gas supply ports 263 are formed on the lower surface of the source gas supply pipe 261 of the source gas supply structure 260 as shown in FIG. These source gas supply ports 263 are equally arranged in the surface of the source gas supply structure 260.
  • a gas pipe 265 that communicates with a source gas supply source 264 installed outside the processing container 230 is connected to the source gas supply pipe 261.
  • a source gas supply source 264 for example, silane (SiH 4 ) gas and hydrogen (H 2 ) gas are individually enclosed as source gases.
  • the gas pipe 265 is provided with a valve 266 and a mass flow controller 267.
  • a predetermined flow rate of silane gas and hydrogen gas are respectively introduced into the source gas supply pipe 261 from the source gas supply source 264 through the gas pipe 265. And these silane gas and hydrogen gas are supplied toward each lower raw material gas dissociation area
  • FIG. 1 a predetermined flow rate of silane gas and hydrogen gas are respectively introduced into the source gas supply pipe 261 from the source gas supply source 264 through the gas pipe 265. And these silane gas and hydrogen gas are supplied toward each lower raw material gas dissociation area
  • a first plasma excitation gas supply port 270 is formed on the inner peripheral surface of the processing vessel 230 covering the outer peripheral surface of the plasma generation region R1 to supply a plasma excitation gas serving as a plasma raw material.
  • the first plasma excitation gas supply ports 270 are formed at a plurality of locations along the inner peripheral surface of the processing vessel 230, for example.
  • the first plasma excitation gas supply port 270 passes through, for example, the side wall of the processing container 230 and communicates with the first plasma excitation gas supply source 271 installed outside the processing container 230.
  • a working gas supply pipe 272 is connected.
  • the first plasma excitation gas supply pipe 272 is provided with a valve 273 and a mass flow controller 274.
  • a plasma excitation gas having a predetermined flow rate can be supplied from the side into the plasma generation region R1 in the processing container 230.
  • argon (Ar) gas is sealed in the first plasma excitation gas supply source 271 as the plasma excitation gas.
  • a substantially flat plasma excitation gas supply structure 280 having the same configuration as that of the source gas supply structure 260 is stacked on the upper surface of the source gas supply structure 260.
  • the plasma excitation gas supply structure 280 includes second plasma excitation gas supply tubes 281 arranged in a lattice pattern.
  • alumina is used for the plasma excitation gas supply structure 280.
  • the plasma excitation gas supply structure 280 is resistant to nitrogen trifluoride gas used in dry cleaning.
  • the alumina surface of the plasma excitation gas supply structure 280 may be coated with yttria.
  • a plurality of second plasma excitation gas supply ports 282 are formed on the upper surface of the second plasma excitation gas supply pipe 281 as shown in FIG.
  • the plurality of second plasma excitation gas supply ports 282 are evenly arranged in the surface of the plasma excitation gas supply structure 280.
  • this plasma excitation gas is, for example, argon gas.
  • nitrogen (N 2 ) gas that is a source gas is also supplied from the plasma excitation gas supply structure 280 to the plasma generation region R1.
  • Openings 283 are formed in the gaps between the lattice-shaped second plasma excitation gas supply pipes 281, and the plasma and radicals generated in the plasma generation region R 1 are exchanged with the plasma excitation gas supply structure 280. It can enter the lower source gas dissociation region R2 through the source gas supply structure 260.
  • the second plasma excitation gas supply pipe 281 is connected to a gas pipe 285 that communicates with a second plasma excitation gas supply source 284 installed outside the processing vessel 230.
  • a second plasma excitation gas supply source 284 for example, argon gas, which is a plasma excitation gas, and nitrogen gas, which is a source gas, are individually sealed.
  • the gas pipe 285 is provided with a valve 286 and a mass flow controller 287. With this configuration, it is possible to supply nitrogen gas and argon gas at a predetermined flow rate from the second plasma excitation gas supply port 282 to the plasma generation region R1.
  • the source gas and the plasma excitation gas described above constitute the processing gas of the present invention.
  • An exhaust port 290 for exhausting the atmosphere in the processing container 230 is provided on both sides of the mounting table 231 at the bottom of the processing container 230.
  • An exhaust pipe 292 that communicates with an exhaust device 291 such as a turbo molecular pump is connected to the exhaust port 290.
  • the above substrate processing system 1 is provided with a control device 300 as shown in FIG.
  • the control device 300 is a computer, for example, and has a program storage unit (not shown).
  • the program storage unit stores a program for executing wafer processing in the substrate processing system 1.
  • This program is recorded in a computer-readable storage medium such as a computer-readable hard disk (HD), flexible disk (FD), compact disk (CD), magnetic optical desk (MO), memory card, or the like. Or installed in the control device 300 from the storage medium.
  • a computer-readable storage medium such as a computer-readable hard disk (HD), flexible disk (FD), compact disk (CD), magnetic optical desk (MO), memory card, or the like.
  • FIG. 9 is a flowchart showing the main steps of wafer processing.
  • FIG. 10 schematically shows the state of the wafer W in each step of wafer processing.
  • a film to be processed 400 is formed in advance on the wafer W to be processed by the substrate processing system 1.
  • the processing target film 400 is, for example, a polysilicon film.
  • the wafer W is transferred to the coating and developing treatment apparatus 20 of the first processing unit 11.
  • the coating and developing treatment apparatus 20 one wafer W is taken out from the cassette C on the cassette mounting table 40 by the wafer transfer device 51 and transferred to, for example, the transfer device 83 in the third block G 3 of the processing station 31.
  • the wafer W is transferred to the heat treatment apparatus 70 of the second block G2 by the wafer transfer apparatus 100, and the temperature is adjusted. Thereafter, the wafer W is transferred to the antireflection film forming apparatus 61 of the first block G1 by the wafer transfer apparatus 100, and an antireflection film 401 is formed on the wafer W as shown in FIG. Thereafter, the wafer W is transferred to the heat treatment apparatus 70 in the second block G2, heated, temperature-controlled, and then returned to the delivery apparatus 83 in the third block G3.
  • the wafer W is transferred by the wafer transfer device 120 to the delivery device 84 of the same third block G3. Thereafter, the wafer W is transferred to the adhesion device 71 of the second block G2 by the wafer transfer device 100 and subjected to an adhesion process.
  • the wafer W is transported to the resist coating device 62 by the wafer transport device 100, and a resist solution is coated on the rotating wafer W to form a resist film on the wafer W. Thereafter, the wafer W is transferred to the heat treatment apparatus 70 by the wafer transfer apparatus 100 and pre-baked. Thereafter, the wafer W is transferred to the transfer device 85 of the third block G3 by the wafer transfer device 100.
  • the wafer W is transferred to the peripheral exposure apparatus 72 by the wafer transfer apparatus 100, and the outer peripheral portion of the wafer W is subjected to exposure processing. Thereafter, the wafer W is transferred by the wafer transfer device 100 to the delivery device 86 of the third block G3.
  • the wafer W is transferred to the transfer device 82 by the wafer transfer device 120 and transferred to the transfer device 92 of the fourth block G4 by the shuttle transfer device 110.
  • the wafer W is transferred to the exposure apparatus 21 by the wafer transfer apparatus 130 of the interface station 32 and subjected to exposure processing.
  • the wafer W is transferred from the exposure apparatus 21 to the delivery apparatus 90 of the fourth block G4 by the wafer transfer apparatus 130. Thereafter, the wafer W is transferred by the wafer transfer apparatus 100 to the heat treatment apparatus 70 of the second block G2, and is subjected to post-exposure baking. Thereafter, the wafer W is transferred to the developing device 60 by the wafer transfer device 100 and developed. After the development is completed, the wafer W is transferred to the heat treatment apparatus 70 by the wafer transfer apparatus 100 and subjected to post-bake processing.
  • the wafer W is transferred to the delivery device 80 of the third block G3 by the wafer transfer device 100, and then transferred to the cassette C of the predetermined cassette mounting portion 41 by the wafer transfer device 51 of the cassette station 30.
  • a series of photolithography steps is completed.
  • a resist pattern 402 is formed on the wafer W (step S1 in FIG. 9).
  • the cassette C containing the wafer W is unloaded from the coating and developing treatment apparatus 20 and then transferred to the second processing unit 12.
  • one wafer W is taken out from the cassette C on the cassette mounting table 212 by the wafer transfer mechanism 210 and transferred into the load lock device 213a.
  • the inside of the load lock device 213a is sealed and decompressed.
  • the inside of the load lock device 213a and the inside of the transfer chamber chamber 214 in a state where the pressure is reduced with respect to the atmospheric pressure (for example, a substantially vacuum state) are communicated.
  • the wafer W is transferred from the load lock device 213 a by the wafer transfer mechanism 215 and transferred into the transfer chamber 214.
  • the wafer W transferred into the transfer chamber 214 is then transferred to the etching apparatus 202 by the wafer transfer mechanism 215.
  • the etching apparatus 202 as shown in FIG. 10B, the resist pattern 402 on the wafer W is trimmed to reduce the line width (step S2 in FIG. 9).
  • the antireflection film 401 on the wafer W is etched using the resist pattern 402 trimmed at the same time as a mask.
  • a pattern 403 of the antireflection film 401 (hereinafter, sometimes referred to as “antireflection film pattern 403”) is formed on the processing target film 400 (step S3 in FIG. 9).
  • the trimming of the resist pattern 402 and the etching of the antireflection film 401 can be performed by plasma etching using, for example, oxygen plasma.
  • the resist pattern 402 and the antireflection film pattern 403 constitute the organic film pattern of the present invention.
  • a silicon nitride film 404 is formed on the resist pattern 402 as shown in FIG. 10C by, eg, CVD (step S4 in FIG. 9).
  • CVD step S4 in FIG. 9
  • the wafer W is returned into the transfer chamber 214 by the wafer transfer mechanism 215 and then transferred to the etching apparatus 204.
  • the silicon nitride film 404 is etched so that the silicon nitride film 404 remains only on the side walls of the resist pattern 402 and the antireflection film pattern 403 (see FIG. 10).
  • Step S5 This etching is performed by, for example, CF-based gas such as CF 4 , C 4 F 8 , CHF 3 , CH 3 F, and CH 2 F 2 , a mixed gas such as Ar gas, or oxygen if necessary in this mixed gas. Is performed using a gas to which is added.
  • the wafer W is returned into the transfer chamber 214 by the wafer transfer mechanism 215 and then transferred to the ashing device 205.
  • the ashing device 205 removes the resist pattern 402 and the antireflection film pattern 403 as shown in FIG. 14D by ashing using oxygen plasma or the like, for example.
  • a pattern 405 of the silicon nitride film 404 (hereinafter sometimes referred to as “silicon nitride film pattern 405”) is formed on the processing target film 400 (step S6 in FIG. 9).
  • the wafer W is returned into the transfer chamber chamber 214 by the wafer transfer mechanism 215, and subsequently transferred into the load lock chamber 213b. Thereafter, the wafer W is stored in a predetermined cassette C by the wafer transfer mechanism 210. Thereafter, the cassette C containing the wafers W is unloaded from the second processing unit 12 and a series of wafer processing is completed.
  • the supply flow rate of the argon gas supplied from the first plasma excitation gas supply port 270 and the argon gas supplied from the second plasma excitation gas supply port 282 are changed.
  • the supply flow rate is adjusted so that the concentration of the argon gas supplied into the plasma generation region R1 is uniform.
  • the exhaust device 291 is operated, and an appropriate air supply is supplied from each of the plasma excitation gas supply ports 270 and 282 in a state where an air flow similar to that during actual film formation is formed in the processing container 230.
  • Argon gas set at a flow rate is supplied.
  • a film is actually formed on the test wafer, and it is inspected whether or not the film formation is performed uniformly within the wafer surface.
  • the argon gas concentration in the plasma generation region R1 is uniform, film formation in the wafer surface is performed uniformly.
  • the setting of the supply flow rate of each argon gas is changed, and film formation is again performed on the test wafer.
  • the supply flow rates from the plasma excitation gas supply ports 270 and 282 are set so that film formation is performed uniformly on the wafer surface and the concentration of argon gas in the plasma generation region R1 is uniform.
  • the film formation process of the wafer W in the plasma film formation apparatus 203 is started.
  • the wafer W is transferred into the processing container 230 and sucked and held on the mounting table 231.
  • the temperature of the wafer W is maintained at 100 ° C. or lower, for example, 50 ° C. to 100 ° C.
  • the exhaust device 291 starts exhausting the processing container 230, the pressure in the processing container 230 is reduced to a predetermined pressure, for example, 20 Pa to 40 Pa, and the state is maintained.
  • the pressure in the processing vessel 230 was lower than 20 Pa, the energy of ions becomes large, and there is a possibility that the silicon nitride film cannot be properly formed on the wafer W. I understood that. For this reason, the pressure in the processing container 230 was maintained at 20 Pa to 40 Pa as described above.
  • argon gas is supplied from the side first plasma excitation gas supply port 270 into the plasma generation region R1, and the second plasma excitation gas supply port below is supplied. Nitrogen gas and argon gas are supplied from 282. At this time, the concentration of argon gas in the plasma generation region R1 is uniformly maintained in the plasma generation region R1. Nitrogen gas is supplied at a flow rate of, for example, 64 sccm. From the radial line slot antenna 242, for example, a microwave with a power of 2.5 kW to 3.0 kW is radiated at a frequency of 2.45 GHz toward the plasma generation region R1 immediately below.
  • the argon gas is turned into plasma in the plasma generation region R1, and the nitrogen gas is radicalized (or ionized).
  • the microwave traveling downward is reflected by the plasma excitation gas supply structure 280 and remains in the plasma generation region R1.
  • high-density plasma is generated in the plasma generation region R1.
  • Plasma and radicals generated in the plasma generation region R1 pass through the plasma excitation gas supply structure 280 and the raw material gas supply structure 260 and enter the lower raw material gas dissociation region R2.
  • Silane gas and hydrogen gas are supplied from the source gas supply ports 263 of the source gas supply structure 260 to the source gas dissociation region R2.
  • the silane gas is supplied at a flow rate of 18 sccm, for example, and the hydrogen gas is supplied at a flow rate of 21 sccm, for example.
  • the hydrogen gas supply flow rate is set in accordance with the film characteristics of the silicon nitride film 404 as will be described later.
  • Silane gas and hydrogen gas are dissociated by plasma particles entering from above.
  • the silicon nitride film 404 is deposited on the wafer W by these radicals and the radicals of nitrogen gas supplied from the plasma generation region R1.
  • the microwave emission and the supply of the processing gas are stopped. Thereafter, the wafer W is unloaded from the processing container 230 and a series of plasma film forming processes is completed. Thus, a low stress silicon nitride film 404 of 100 MPa or less is formed on the wafer W.
  • FIG. 11 shows how the wet etching rate of the silicon nitride film 404 with respect to hydrofluoric acid changes when the supply flow rate of the hydrogen gas in the processing gas is changed using the plasma film formation method of the above embodiment. ing.
  • the supply flow rate of silane gas was 18 sccm
  • the supply flow rate of hydrogen gas was 21 sccm.
  • the temperature of the wafer W was 100 ° C. during the plasma film forming process.
  • the wet etching rate of the silicon nitride film 404 with respect to hydrofluoric acid is reduced by further adding hydrogen gas to the processing gas containing silane gas and nitrogen gas. Therefore, the density of the silicon nitride film 404 is improved by the hydrogen gas in the processing gas, and the film quality of the silicon nitride film 404 is improved. Also, the step coverage of the silicon nitride film 404 is improved. Furthermore, it has been found that the refractive index of the silicon nitride film 404 is improved to, for example, 2.0 ⁇ 0.1.
  • the wet etching rate of the silicon nitride film 404 with respect to hydrofluoric acid decreases. Therefore, by controlling the supply flow rate of hydrogen gas, the wet etching rate of the silicon nitride film 404 can be controlled, and the film characteristics of the silicon nitride film 404 can be controlled.
  • FIG. 12 shows how the film stress of the silicon nitride film 404 changes when the supply flow rate of hydrogen gas in the processing gas is varied using the plasma film formation method of the above embodiment.
  • the supply flow rate of silane gas was 18 sccm
  • the supply flow rate of hydrogen gas was 21 sccm.
  • the temperature of the wafer W was 100 ° C. during the plasma film forming process.
  • the film stress of the silicon nitride film 404 changes to the minus side (compression side) by further adding hydrogen gas to the processing gas containing silane gas and nitrogen gas.
  • the film stress of the silicon nitride film 404 decreases. Therefore, by controlling the supply flow rate of hydrogen gas, the film stress of the silicon nitride film 404 can be controlled to 100 MPa or less, and the film characteristics of the silicon nitride film 404 can be controlled.
  • the lower limit value of the film stress of the silicon nitride film 404 is not particularly limited, and the film stress may be greater than 0 MPa and 100 MPa or less.
  • the silicon nitride film 404 is formed in the state where the temperature of the wafer W is maintained at a low temperature of 100 ° C. or lower in step S4, the resist pattern 402 and the antireflection film are formed.
  • the pattern 403 can be prevented from being damaged.
  • the silicon nitride film 404 has a low stress of 100 MPa or less, the resist pattern 402 and the antireflection film pattern 403 are not deformed in step S5.
  • the silicon nitride film 404 maintains a predetermined shape even after the resist pattern 402 and the antireflection film pattern 403 are removed in step S6. Therefore, the silicon nitride film 404 serving as a mask for etching the processing target film 400 on the wafer W can be appropriately formed in a predetermined pattern.
  • the film characteristics such as the wet etching rate and film stress of the silicon nitride film 404 can be controlled by controlling the supply flow rate of the hydrogen gas.
  • plasma is generated using microwaves radiated from the radial line slot antenna 242.
  • the processing gas contains silane gas, nitrogen gas, and hydrogen gas, for example, as shown in FIG. 13, the power of the microwave and the film stress of the silicon nitride film 404 are approximately proportional I found out that there was a relationship. Therefore, according to the present embodiment, the film stress of the silicon nitride film 404 can also be controlled by controlling the microwave power.
  • the silicon nitride film 404 is formed in a batch manner using an ALD (Atomic Layer Deposition) method.
  • ALD atomic layer Deposition
  • the plasma film forming apparatus 203 is disposed in the second processing unit 12 of the substrate processing system 1, and the plasma film forming apparatus 203 uses a CVD method to form a single wafer. Film processing is performed. Therefore, according to the present embodiment, the throughput of wafer processing can be improved.
  • silane gas and hydrogen gas are supplied from the source gas supply structure 260, and nitrogen gas and argon gas are supplied from the plasma excitation gas supply structure 280.
  • the gas may be supplied from a plasma excitation gas supply structure 280.
  • the hydrogen gas may be supplied from both the source gas supply structure 260 and the plasma excitation gas supply structure 280.
  • the film characteristics of the silicon nitride film 404 can be controlled by controlling the supply flow rate of the hydrogen gas as described above.
  • the film stress of the silicon nitride film 404 when the film stress of the silicon nitride film 404 is controlled, the supply flow rate of the hydrogen gas in the processing gas is controlled.
  • the method of controlling the film stress is not limited to this embodiment.
  • the film stress of the silicon nitride film 404 can be controlled, for example, by controlling the ratio between the supply flow rate of silane gas and the supply flow rate of nitrogen gas.
  • the processing gas contains nitrogen gas, but it is not limited to this as long as it has nitrogen atoms.
  • the processing gas may contain ammonia (NH 3 ) gas.
  • the plasma is generated by the microwave from the radial line slot antenna 242, but the generation of the plasma is not limited to this embodiment.
  • the plasma for example, CCP (capacitively coupled plasma), ICP (inductively coupled plasma), ECRP (electron cyclotron resonance plasma), HWP (helicon wave excited plasma) or the like may be used.
  • CCP capacively coupled plasma
  • ICP inductively coupled plasma
  • ECRP electrotron cyclotron resonance plasma
  • HWP helicon wave excited plasma
  • the silicon nitride film 404 is formed in a low temperature environment where the temperature of the wafer W is 100 ° C. or lower, it is preferable to use high-density plasma.
  • the silicon nitride film 404 is used as a mask when the film to be processed 400 is etched.
  • a silicon oxynitride film SiON film
  • oxygen gas is also added to the processing gas in addition to the silane gas, nitrogen gas, and hydrogen gas described above.
  • a polysilicon film is used as the film to be processed 400.
  • an amorphous silicon film, a silicon oxide film (SiO 2 film), a TEOS film, or the like is used as the film to be processed 400.
  • the silicon nitride film 404 has a higher selection ratio with respect to the film to be processed 400 than a conventionally used silicon oxide film. Therefore, when the silicon nitride film 404 is used as a mask, the applicable range of the film to be processed 400 that can be etched is widened.
  • the resist pattern 402 and the antireflection film pattern 403 are removed in step S6.
  • the resist pattern 402 may be removed after step S3. That is, after forming the resist pattern 402 on the wafer W as shown in FIG. 14A (step S1 in FIG. 9), the resist pattern 402 is trimmed (step S2 in FIG. 9), and the antireflection film 401 is formed. Etching is performed (step S3 in FIG. 9). 14B, the resist pattern 402 is removed, and an antireflection film pattern 403 as an organic film pattern is formed on the processing target film 400 of the wafer W. Then, after forming a silicon nitride film 404 on the antireflection film pattern 403 as shown in FIG.
  • step S4 in FIG. 9 the silicon film 404 is etched as shown in FIG. Then (step S5 in FIG. 9), the antireflection film pattern 403 is removed (step S6 in FIG. 9). Also in this embodiment, the silicon nitride film pattern 405 can be appropriately formed on the processing target film 400. Since these steps S1 to S6 are the same as those in the above embodiment, detailed description thereof is omitted.
  • the dimension of the silicon nitride film pattern 405 may be measured after step S6.
  • the dimension measuring device 500 is arranged in the second processing unit 12.
  • the dimension measuring device 500 is disposed, for example, outside the transfer chamber 214 of the common transfer unit 201, and is next to the ashing device 205 in the clockwise direction in plan view.
  • the second processing unit 12 is also provided with an etching apparatus 510 to be described later.
  • positioning of the dimension measuring apparatus 500 is not limited to this Embodiment, The dimension measuring apparatus 500 can be arrange
  • the dimension measuring device 500 may be disposed adjacent to the transfer chamber 211. In such a case, the dimension measuring apparatus 500 measures the dimension of the silicon nitride film pattern 405 in the air atmosphere.
  • the dimension of the silicon nitride film pattern 405 is measured using, for example, a scatterometry method.
  • the scatterometry method matches the light intensity distribution in the wafer surface detected by irradiating the silicon nitride film pattern 405 on the wafer W to be measured with the virtual light intensity distribution stored in advance.
  • the size of the virtual silicon nitride film pattern to which the light intensity distribution is matched is estimated as the actual size of the silicon nitride film pattern 405.
  • the dimension of the silicon nitride film pattern 405 for example, the line width of the silicon nitride film pattern 405 is measured, but other dimensions such as the height of the silicon nitride film pattern 405 are measured. Also good.
  • the wafer W on which the silicon nitride film pattern 405 is formed in step S6 is transferred to the dimension measuring apparatus 500 by the wafer transfer mechanism 215.
  • the line width of the silicon nitride film pattern 405 is measured by the scatterometry method described above.
  • the measurement result of the dimension measuring device 500 is output to the control device 300, for example.
  • the processing conditions of the film forming process in the plasma film forming apparatus 203 are corrected based on the measurement result. To do. Specifically, for example, the supply flow rate of nitrogen gas, the temperature of the wafer W during processing, the pressure in the processing container 230, and the like are corrected. Thus, the processing conditions of the plasma film forming apparatus 203 are feedback-controlled, and the subsequent wafer W is processed under the corrected processing conditions. Therefore, a silicon nitride film pattern 405 having a predetermined line width can be formed on the wafer W. In addition, the yield of a semiconductor device that is a product can be improved.
  • the processing conditions of the plasma film forming apparatus 203 are corrected based on the measurement result of the dimension of the silicon nitride film pattern 405 in the dimension measuring apparatus 500, but the other etching apparatuses 202 and 204 are corrected.
  • the processing conditions of each processing device in the ashing device 205 and the first processing unit 11 may be corrected.
  • the dimension of the silicon nitride film pattern 405 is measured by the dimension measuring apparatus 500.
  • the dimension of the prevention film pattern 403 may be measured.
  • the line width of the resist pattern 402 is measured as the dimension of the resist pattern 402, but other dimensions such as the height of the resist pattern 402 may be measured.
  • the control device 300 corrects the processing conditions of each processing device in the etching device 202 and the first processing unit 11 based on the measurement result. Even in such a case, since these processing conditions are feedback-controlled, the subsequent wafer W can be appropriately processed under the corrected processing conditions.
  • the method for measuring the resist pattern 402 in the dimension measuring apparatus 500 is the same as the method for measuring the dimension of the silicon nitride film pattern 405 in the above embodiment, and thus the description thereof is omitted.
  • the target film 400 is etched using the silicon nitride film pattern 405 as a mask.
  • the etching of the processing target film 400 is performed by an etching apparatus 510 as shown in FIG. 15, for example.
  • the etching apparatus 510 is disposed in the second processing unit 12 of the substrate processing system 1, for example.
  • the etching apparatus 510 is disposed, for example, outside the transfer chamber 214 of the common transfer unit 201 and is next to the dimension measuring apparatus 500 in the clockwise direction in plan view.
  • a general apparatus may be used as the etching apparatus 510, and the description of the configuration is omitted.
  • the wafer W on which the silicon nitride film pattern 405 is formed in step S6 is transferred to the etching apparatus 510 by the wafer transfer mechanism 215.
  • the film to be processed 400 is etched using the silicon nitride film pattern 405 as a mask, and the pattern 520 of the film to be processed 400 (hereinafter referred to as "processed film pattern 520") is formed on the wafer W as shown in FIG. Is formed).
  • This etching is performed using, for example, HBr gas.
  • the semiconductor device is manufactured.
  • the film pattern to be processed 520 can also be appropriately formed on the wafer W. Therefore, the yield of the semiconductor device can be improved.
  • the etching apparatus 510 is disposed in the substrate processing system 1, but may be disposed outside the substrate processing system 1.
  • the present invention is not limited to such examples. It is obvious for those skilled in the art that various changes or modifications can be conceived within the scope of the idea described in the claims, and these naturally belong to the technical scope of the present invention. It is understood.
  • the present invention is not limited to this example and can take various forms.
  • the present invention can also be applied to a case where the substrate is another substrate such as a glass substrate for FPD (flat panel display) other than a semiconductor wafer, a mask reticle for a photomask, or the like.
  • FPD flat panel display

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

 基板上の被処理膜をエッチングする際のマスクとなる所定のパターンを形成するパターン形成方法は、基板の被処理膜上に有機膜のパターンを形成する有機膜パターン形成工程と、その後、前記有機膜のパターン上にシリコン窒化膜を成膜する成膜工程と、その後、前記シリコン窒化膜が前記有機膜のパターンの側壁部にのみ残るように当該シリコン窒化膜をエッチングした後、前記有機膜のパターンを除去し、基板の被処理膜上に前記シリコン窒化膜の前記所定のパターンを形成するシリコン窒化膜パターン形成工程と、を有する。前記成膜工程は、基板の温度を100℃以下に維持した状態で、処理ガスを励起させてプラズマを生成し、当該プラズマによるプラズマ処理を行って、100MPa以下の膜ストレスを有するシリコン窒化膜を形成する。

Description

パターン形成方法及び半導体装置の製造方法
 本発明は、基板の被処理膜上に所定のパターンを形成するパターン形成方法及び半導体装置の製造方法に関する。
 例えば半導体装置の製造工程では、例えば半導体ウェハ(以下、「ウェハ」という。)上にレジスト液を塗布してレジスト膜を形成するレジスト塗布処理、当該レジスト膜に所定のパターンを露光する露光処理、露光されたレジスト膜を現像する現像処理などを順次行うフォトリソグラフィー処理が行われ、ウェハ上に所定のレジストパターンが形成される。そして、このレジストパターンをマスクとして、ウェハ上の被処理膜のエッチング処理が行われ、その後レジスト膜の除去処理などが行われて、被処理膜に所定のパターンが形成される。
 上述した被処理膜のパターンを形成する際には、半導体装置のさらなる高集積化を図るため、近年、当該被処理膜のパターンの微細化が求められている。このため、例えば露光処理に用いられる光を短波長化することなどが進められているが、技術的、コスト的な限界から、例えば数ナノメートルオーダーの微細な被処理膜のパターンを形成するのが困難な状況にある。
 そこで、例えばシリコン酸化膜(SiO膜)等を犠牲膜として使用し、レジストパターンの両側側壁部分にマスクを形成して使用する、いわゆるダブルパターニングの一つであるSWT(Side Wall Transfer)法を用いることが提案されている。SWT法は、最初にフォトリソグラフィー処理を行ってウェハ上に形成されたレジストパターンよりも微細なピッチで被処理膜のパターニングを行うものである。すなわち、この方法では、先ず例えば300℃以下程度の温度環境下でレジストパターン上にシリコン酸化膜を成膜し、このシリコン酸化膜がレジストパターンの側壁部にのみ残るように当該シリコン酸化膜をエッチングする。その後、レジストパターンを除去して、ウェハの被処理膜上にシリコン酸化膜パターンを形成する。こうして形成された微細なシリコン酸化膜のパターンをマスクに被処理膜をエッチングし、ウェハ上に微細な被処理膜のパターンを形成する(特許文献1)。
日本国特開2009-99938号公報
 しかしながら、特許文献1に記載された方法を用いてシリコン酸化膜を成膜すると、当該シリコン酸化膜の膜ストレスが高くなる(例えば数百PMaとなる)。そうすると、シリコン酸化膜をエッチングして当該シリコン酸化膜がレジストパターンの側壁部にのみ残るようにした際に、シリコン酸化膜の膜ストレスによってレジストパターンが変形する場合がある。また、その後レジストパターンを除去する際に、シリコン酸化膜が所定の形状を維持できずに崩れる場合がある。したがって、シリコン酸化膜を所定のパターンに形成できず、ウェハ上に微細な被処理膜のパターンをできない。
 また、レジストパターンは有機材料からなるため、ウェハの温度が約100℃以上の高温になると当該レジストパターンがダメージを受けるおそれがある。特許文献1に記載された方法では、例えば300℃以下程度の温度環境下でレジストパターン上にシリコン酸化膜を成膜しているので、レジストパターンが崩れるなどのダメージを受けるおそれがある。そうすると、シリコン酸化膜を所定のパターンに形成できない。
 さらに、被処理膜をエッチングする際のマスクとしてシリコン酸化膜を用いた場合、被処理膜にシリコン酸化膜を用いることができず、またシリコン酸化膜以外の膜との選択比も低い場合が多い。したがって、この場合、被処理膜として用いられる膜が限定されてしまう。
 本発明は、かかる点に鑑みてなされたものであり、基板の温度が100℃以下の低温環境下において、基板上の被処理膜をエッチングする際のマスクを所定のパターンに適切に形成することを目的とする。
 前記の目的を達成するため、本発明は、基板上の被処理膜をエッチングする際のマスクとなる所定のパターンを形成するパターン形成方法であって、基板の被処理膜上に有機膜のパターンを形成する有機膜パターン形成工程と、その後、前記有機膜のパターン上にシリコン窒化膜を成膜する成膜工程と、その後、前記シリコン窒化膜が前記有機膜のパターンの側壁部にのみ残るように当該シリコン窒化膜をエッチングした後、前記有機膜のパターンを除去し、基板の被処理膜上に前記シリコン窒化膜の前記所定のパターンを形成するシリコン窒化膜パターン形成工程と、を有し、前記成膜工程は、基板の温度を100℃以下に維持した状態で、処理ガスを励起させてプラズマを生成し、当該プラズマによるプラズマ処理を行って、100MPa以下の膜ストレスを有するシリコン窒化膜を形成する。
 本発明によれば、成膜工程において、基板の温度が100℃以下の低温度に維持した状態でシリコン窒化膜を形成しているので、有機膜のパターンがダメージを受けるのを回避できる。また、シリコン窒化膜は100MPa以下の低ストレスを有するので、シリコン窒化膜パターン形成工程において、有機膜のパターンが変形することがなく、さらに有機膜のパターンを除去した後もシリコン窒化膜は所定の形状を維持できる。したがって、基板上の被処理膜をエッチングする際のマスクとなるシリコン窒化膜を所定のパターンに適切に形成することができる。しかも、従来のシリコン酸化膜に比べて、シリコン窒化膜は被処理膜に対して高い選択比を有する。したがって、シリコン窒化膜をマスクとして用いた場合、エッチングできる被処理膜の適用範囲が広い。
 別な観点による本発明は、半導体装置の製造方法であって、基板の被処理膜上に有機膜のパターンを形成する有機膜パターン形成工程と、その後、基板の温度を100℃以下に維持した状態で、処理ガスを励起させてプラズマを生成し、当該プラズマによるプラズマ処理を行って、前記有機膜のパターン上に100MPa以下の膜ストレスを有するシリコン窒化膜を成膜する成膜工程と、その後、前記シリコン窒化膜が前記有機膜のパターンの側壁部にのみ残るように当該シリコン窒化膜をエッチングした後、前記有機膜のパターンを除去し、基板の被処理膜上に前記シリコン窒化膜の前記所定のパターンを形成するシリコン窒化膜パターン形成工程と、を有するパターン形成方法を行って基板の被処理膜上に前記シリコン窒化膜のパターンを形成した後、前記シリコン窒化膜のパターンをマスクとして基板上の被処理膜をエッチングして、半導体装置を製造する。
 本発明によれば、基板の温度が100℃以下の低温環境下において、基板上の被処理膜をエッチングする際のマスクを所定のパターンに適切に形成することができる。
本実施の形態にかかるパターン形成方法を実施するための基板処理システムの構成の概略を示す平面図である。 第1の処理部の構成の概略を示す平面図である。 第1の処理部の構成の概略を示す側面図である。 第1の処理部の構成の概略を示す側面図である。 第2の処理部の構成の概略を示す平面図である。 プラズマ成膜装置の構成の概略を示す縦断面図である。 原料ガス供給構造体の平面図である。 プラズマ励起用ガス供給構造体の平面図である。 ウェハ処理の各工程を示すフローチャートである。 ウェハ処理の各工程におけるウェハの状態を模式的に示した説明図であり、(a)はレジストパターンが形成された様子を示し、(b)はレジストパターンがトリミングされ、反射防止膜がエッチングされた様子を示し、(c)はシリコン窒化膜が成膜された様子を示し、(d)はシリコン窒化膜のパターンが形成された様子を示している。 本実施の形態にかかるプラズマ成膜方法を用いた場合において、水素ガスの供給流量とシリコン窒化膜のウェットエッチングレートとの関係を示すグラフである。 本実施の形態にかかるプラズマ成膜方法を用いた場合において、水素ガスの供給流量とシリコン窒化膜の膜ストレスとの関係を示すグラフである。 本実施の形態にかかるプラズマ成膜方法を用いた場合において、マイクロ波のパワーとシリコン窒化膜の膜ストレスとの関係を示すグラフである。 他の実施の形態にかかるウェハ処理の各工程におけるウェハの状態を模式的に示した説明図であり、(a)はレジストパターンが形成された様子を示し、(b)はレジストパターンがトリミングされ、反射防止膜がエッチングされた様子を示し、(c)はシリコン窒化膜が成膜された様子を示し、(d)はシリコン窒化膜のパターンが形成された様子を示している。 他の実施の形態にかかる第2の処理部の構成の概略を示す平面図である。 ウェハ上に被処理膜のパターンが形成された様子を示す説明図である。
 以下、本発明の実施の形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。図1は、本実施の形態にかかるパターン形成方法を実施するための基板処理システム1の構成の概略を示す平面図である。なお、本実施の形態の基板処理システム1で処理される基板としてのウェハW上には、後述するように予め被処理膜、例えばポリシリコン膜が形成されている。
 基板処理システム1は、図1に示すようにウェハWに所定の処理を行う第1の処理部11と第2の処理部12とを有している。第1の処理部11では、ウェハW上にフォトリソグラフィー処理を行い、当該ウェハW上にレジストパターンを形成する。第2の処理部12では、ウェハWの被処理膜上にシリコン窒化膜(SiN膜)のパターンを形成する。
 第1の処理部11は、図2に示すように塗布現像処理装置20と露光装置21を有している。塗布現像処理装置20は、例えば外部との間で複数枚のウェハWを収容したカセットCが搬入出されるカセットステーション30と、フォトリソグラフィー処理の中で枚葉式に所定の処理を施す複数の各種処理装置を備えた処理ステーション31と、処理ステーション31に隣接する露光装置21との間でウェハWの受け渡しを行うインターフェイスステーション32とを一体に接続した構成を有している。
 カセットステーション30には、カセット載置台40が設けられている。カセット載置台40には、複数、例えば4つのカセット載置部41が設けられている。カセット載置部41は、水平方向のX方向(図2中の上下方向)に一列に並べて設けられている。これらのカセット載置部41には、塗布現像処理装置20の外部に対してカセットCを搬入出する際に、カセットCを載置することができる。
 カセットステーション30には、X方向に延びる搬送路50上を移動自在なウェハ搬送装置51が設けられている。ウェハ搬送装置51は、上下方向及び鉛直軸周り(θ方向)にも移動自在であり、各カセット載置部41上のカセットCと、後述する処理ステーション31の第3のブロックG3の受け渡し装置との間でウェハWを搬送できる。
 処理ステーション31には、各種装置を備えた複数例えば4つのブロックG1、G2、G3、G4が設けられている。例えば処理ステーション31の正面側(図2のX方向負方向側)には、第1のブロックG1が設けられ、処理ステーション31の背面側(図2のX方向正方向側)には、第2のブロックG2が設けられている。また、処理ステーション31のカセットステーション30側(図2のY方向負方向側)には、第3のブロックG3が設けられ、処理ステーション31のインターフェイスステーション32側(図2のY方向正方向側)には、第4のブロックG4が設けられている。
 例えば第1のブロックG1には、図4に示すように複数の液処理装置、例えばウェハWを現像処理する現像装置60、ウェハWのレジスト膜の下層に反射防止膜を形成する反射防止膜形成装置61、ウェハWにレジスト液を塗布してレジスト膜を形成するレジスト塗布装置62、63が下から順に4段に重ねられている。
 例えば第1のブロックG1の各装置60~63は、処理時にウェハWを収容するカップFを水平方向に複数有し、複数のウェハWを並行して処理することができる。
 例えば第2のブロックG2には、図3に示すようにウェハWの熱処理を行う熱処理装置70や、ウェハWを疎水化処理するアドヒージョン装置71、ウェハWの外周部を露光する周辺露光装置72が上下方向と水平方向に並べて設けられている。熱処理装置70は、ウェハWを載置して加熱する熱板と、ウェハWを載置して冷却する冷却板を有し、加熱処理と冷却処理の両方を行うことができる。なお、熱処理装置70、アドヒージョン装置71及び周辺露光装置72の数や配置は、任意に選択できる。
 例えば第3のブロックG3には、複数の受け渡し装置80、81、82、83、84、85、86が下から順に設けられている。また、第4のブロックG4には、複数の受け渡し装置90、91、92が下から順に設けられている。
 図2に示すように第1のブロックG1~第4のブロックG4に囲まれた領域には、ウェハ搬送領域Dが形成されている。ウェハ搬送領域Dには、例えばウェハ搬送装置100が配置されている。
 ウェハ搬送装置100は、例えばY方向、X方向、θ方向及び上下方向に移動自在な搬送アームを有している。ウェハ搬送装置100は、ウェハ搬送領域D内を移動し、周囲の第1のブロックG1、第2のブロックG2、第3のブロックG3及び第4のブロックG4内の所定の装置にウェハWを搬送できる。
 ウェハ搬送装置100は、例えば図3に示すように上下に複数台配置され、例えば各ブロックG1~G4の同程度の高さの所定の装置にウェハWを搬送できる。
 また、ウェハ搬送領域Dには、第3のブロックG3と第4のブロックG4との間で直線的にウェハWを搬送するシャトル搬送装置110が設けられている。
 シャトル搬送装置110は、例えばY方向に直線的に移動自在になっている。シャトル搬送装置110は、ウェハWを支持した状態でY方向に移動し、第3のブロックG3の受け渡し装置82と第4のブロックG4の受け渡し装置92との間でウェハWを搬送できる。
 図2に示すように第3のブロックG3のX方向正方向側の隣には、ウェハ搬送装置120が設けられている。ウェハ搬送装置120は、例えばX方向、θ方向及び上下方向に移動自在な搬送アームを有している。ウェハ搬送装置120は、ウェハWを支持した状態で上下に移動して、第3のブロックG3内の各受け渡し装置にウェハWを搬送できる。
 インターフェイスステーション32には、ウェハ搬送装置130と受け渡し装置131が設けられている。ウェハ搬送装置130は、例えばY方向、θ方向及び上下方向に移動自在な搬送アームを有している。ウェハ搬送装置130は、例えば搬送アームにウェハWを支持して、第4のブロックG4内の各受け渡し装置と受け渡し装置131にウェハWを搬送できる。
 第2の処理部12は、図5に示すように第2の処理部12に対するウェハWの搬入出を行うカセットステーション200と、ウェハWの搬送を行う共通搬送部201と、ウェハWに所定の処理を行うエッチング装置202、プラズマ成膜装置203、エッチング装置204、アッシング装置205とを有している。
 カセットステーション200は、ウェハWを搬送するウェハ搬送機構210が内部に設けられた搬送室211を有している。ウェハ搬送機構210は、ウェハWを略水平に保持する2つの搬送アーム210a、210bを有しており、これら搬送アーム210a、210bのいずれかによってウェハWを保持しながら搬送する構成となっている。搬送室211の側方には、ウェハWを複数枚並べて収容可能なカセットCが載置されるカセット載置台212が備えられている。図示の例では、カセット載置台212には、カセットCを複数、例えば3つ載置できるようになっている。
 搬送室211と共通搬送部201は、真空引き可能な2つのロードロック装置213a、213bを介して互いに連結させられている。
 共通搬送部201は、例えば上方からみて略多角形状(図示の例では六角形状)をなすように形成された密閉可能な構造の搬送室チャンバ214を有している。搬送室チャンバ214内には、ウェハWを搬送するウェハ搬送機構215が設けられている。ウェハ搬送機構215は、ウェハWを略水平に保持する2つの搬送アーム215a、215bを有しており、これら搬送アーム215a、215bのいずれかによってウェハWを保持しながら搬送する構成となっている。
 搬送室チャンバ214の外側には、エッチング装置202、プラズマ成膜装置203、エッチング装置204、アッシング装置205、ロードロック装置213b、213aが、搬送室チャンバ214の周囲を囲むように配置されている。エッチング装置202、プラズマ成膜装置203、エッチング装置204、アッシング装置205、ロードロック装置213b、213aは、平面視において時計回転方向においてこの順に並ぶように、また、搬送室チャンバ214の6つの側面部に対してそれぞれ対向するようにして配置されている。
 なお、プラズマ成膜装置203の構成については後述において詳しく説明する。また、その他の処理装置であるエッチング装置202、204、アッシング装置205については、一般的な装置を用いればよく、その構成の説明は省略する。
 次に、上述したプラズマ成膜装置203の構成について説明する。なお、本実施の形態のプラズマ成膜装置203は、RLSA(ラジアルラインスロットアンテナ)を用いてプラズマを発生させるCVD(Chemical Vapor Deposiotion)装置である。
 プラズマ成膜装置203は、図6に示すように例えば上面が開口した有底円筒状の処理容器230を備えている。処理容器230は、例えばアルミニウム合金により形成されている。また処理容器230は、接地されている。処理容器230の底部のほぼ中央部には、例えばウェハWを載置するための載置台231が設けられている。
 載置台231には、例えば電極板232が内蔵されており、電極板232は、処理容器230の外部に設けられた直流電源233に接続されている。この直流電源233により載置台231の表面に静電気力を生じさせて、ウェハWを載置台231上に静電吸着することができる。なお、電極板232は、例えば図示しないバイアス用高周波電源に接続されていてもよい。
 処理容器230の上部開口には、例えば気密性を確保するためのOリングなどのシール材240を介して、誘電体窓241が設けられている。この誘電体窓241によって処理容器230内が閉鎖されている。誘電体窓241の上部には、プラズマ生成用のマイクロ波を供給するラジアルラインスロットアンテナ242が設けられている。なお、誘電体窓241には例えばアルミナ(Al)が用いられる。かかる場合、誘電体窓241は、ドライクリーニングで用いられる三フッ化窒素(NF)ガスに耐性を有する。また、さらに三フッ化窒素ガスに対する耐性を向上させるため、誘電体窓241のアルミナの表面にイットリア(Y)を被覆してもよい。
 ラジアルラインスロットアンテナ242は、下面が開口した略円筒状のアンテナ本体250を備えている。アンテナ本体250の下面の開口部には、多数のスロットが形成された円盤状のスロット板251が設けられている。アンテナ本体250内のスロット板251の上部には、低損失誘電体材料により形成された誘電体板252が設けられている。アンテナ本体250の上面には、マイクロ波発振装置253に通じる同軸導波管254が接続されている。マイクロ波発振装置253は、処理容器230の外部に設置されており、ラジアルラインスロットアンテナ242に対し、所定周波数、例えば2.45GHzのマイクロ波を発振できる。かかる構成により、マイクロ波発振装置253から発振されたマイクロ波は、ラジアルラインスロットアンテナ242内に伝搬され、誘電体板252で圧縮され短波長化された後、スロット板251で円偏波を発生させ、誘電体窓241から処理容器230内に向けて放射される。
 処理容器230内の載置台231とラジアルラインスロットアンテナ242との間には、例えば略平板形状の原料ガス供給構造体260が設けられている。原料ガス供給構造体260は、外形が平面から見て少なくともウェハWの直径よりも大きい円形状に形成されている。この原料ガス供給構造体260によって、処理容器230内は、ラジアルラインスロットアンテナ242側のプラズマ生成領域R1と、載置台231側の原料ガス解離領域R2とに区画されている。なお、原料ガス供給構造体260には例えばアルミナが用いられる。かかる場合、原料ガス供給構造体260は、ドライクリーニングで用いられる三フッ化窒素ガスに耐性を有する。また、さらに三フッ化窒素ガスに対する耐性を向上させるため、原料ガス供給構造体260のアルミナの表面にイットリアを被覆してもよい。
 原料ガス供給構造体260は、図7に示すように同一平面上で略格子状に配置された一続きの原料ガス供給管261により構成されている。原料ガス供給管261は、軸方向から見て縦断面が方形に形成されている。原料ガス供給管261同士の隙間には、多数の開口部262が形成されている。原料ガス供給構造体260の上側のプラズマ生成領域R1で生成されたプラズマとラジカルは、この開口部262を通過して載置台231側の原料ガス解離領域R2に進入できる。
 原料ガス供給構造体260の原料ガス供給管261の下面には、図6に示すように多数の原料ガス供給口263が形成されている。これらの原料ガス供給口263は、原料ガス供給構造体260面内において均等に配置されている。原料ガス供給管261には、処理容器230の外部に設置された原料ガス供給源264に連通するガス管265が接続されている。原料ガス供給源264には、例えば原料ガスとして、シラン(SiH)ガスと水素(H)ガスが個別に封入されている。ガス管265には、バルブ266、マスフローコントローラ267が設けられている。かかる構成によって、原料ガス供給源264からガス管265を通じて原料ガス供給管261に所定流量のシランガスと水素ガスがそれぞれ導入される。そして、これらシランガスと水素ガスは、各原料ガス供給口263から下方の原料ガス解離領域R2に向けて供給される。
 プラズマ生成領域R1の外周面を覆う処理容器230の内周面には、プラズマの原料となるプラズマ励起用ガスを供給する第1のプラズマ励起用ガス供給口270が形成されている。第1のプラズマ励起用ガス供給口270は、例えば処理容器230の内周面に沿って複数箇所に形成されている。第1のプラズマ励起用ガス供給口270には、例えば処理容器230の側壁部を貫通し、処理容器230の外部に設置された第1のプラズマ励起用ガス供給源271に通じる第1のプラズマ励起用ガス供給管272が接続されている。第1のプラズマ励起用ガス供給管272には、バルブ273、マスフローコントローラ274が設けられている。かかる構成によって、処理容器230内のプラズマ生成領域R1内には、側方から所定流量のプラズマ励起用ガスを供給することができる。本実施の形態においては、第1のプラズマ励起用ガス供給源271に、プラズマ励起用ガスとして、例えばアルゴン(Ar)ガスが封入されている。
 原料ガス供給構造体260の上面には、例えば当該原料ガス供給構造体260と同様の構成を有する略平板形状のプラズマ励起用ガス供給構造体280が積層され配置されている。プラズマ励起用ガス供給構造体280は、図8に示すように格子状に配置された第2のプラズマ励起用ガス供給管281により構成されている。なお、プラズマ励起用ガス供給構造体280には例えばアルミナが用いられる。かかる場合、プラズマ励起用ガス供給構造体280は、ドライクリーニングで用いられる三フッ化窒素ガスに耐性を有する。また、さらに三フッ化窒素ガスに対する耐性を向上させるため、プラズマ励起用ガス供給構造体280のアルミナの表面にイットリアを被覆してもよい。
 第2のプラズマ励起用ガス供給管281の上面には、図6に示すように複数の第2のプラズマ励起用ガス供給口282が形成されている。これらの複数の第2のプラズマ励起用ガス供給口282は、プラズマ励起用ガス供給構造体280面内において均等に配置されている。これにより、プラズマ生成領域R1に対し下側から上方に向けてプラズマ励起用ガスを供給できる。なお、本実施の形態では、このプラズマ励起用ガスは例えばアルゴンガスである。また、アルゴンガスに加えて、原料ガスである窒素(N)ガスもプラズマ励起用ガス供給構造体280からプラズマ生成領域R1に対して供給される。
 格子状の第2のプラズマ励起用ガス供給管281同士の隙間には、開口部283が形成されており、プラズマ生成領域R1で生成されたプラズマとラジカルは、プラズマ励起用ガス供給構造体280と原料ガス供給構造体260を通過して下方の原料ガス解離領域R2に進入できる。
 第2のプラズマ励起用ガス供給管281には、処理容器230の外部に設置された第2のプラズマ励起用ガス供給源284に連通するガス管285が接続されている。第2のプラズマ励起用ガス供給源284には、例えばプラズマ励起用ガスであるアルゴンガスと原料ガスである窒素ガスが個別に封入されている。ガス管285には、バルブ286、マスフローコントローラ287が設けられている。かかる構成によって、第2のプラズマ励起用ガス供給口282からプラズマ生成領域R1に対し、所定流量の窒素ガスとアルゴンガスをそれぞれ供給できる。
 なお、上述した原料ガスとプラズマ励起用ガスが本発明の処理ガスを構成している。
 処理容器230の底部の載置台231を挟んだ両側には、処理容器230内の雰囲気を排気するための排気口290が設けられている。排気口290には、ターボ分子ポンプなどの排気装置291に通じる排気管292が接続されている。この排気口290からの排気により、処理容器230内を所定の圧力、例えば後述するように20Pa~40Paに維持できる。
 以上の基板処理システム1には、図1に示すように制御装置300が設けられている。制御装置300は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、基板処理システム1におけるウェハ処理を実行するプログラムが格納されている。なお、このプログラムは、例えばコンピュータ読み取り可能なハードディスク(HD)、フレキシブルディスク(FD)、コンパクトディスク(CD)、マグネットオプティカルデスク(MO)、メモリーカードなどのコンピュータに読み取り可能な記憶媒体に記録されていたものであって、その記憶媒体から制御装置300にインストールされたものであってもよい。
 次に、以上のように構成された基板処理システム1で行われるウェハ処理について説明する。図9は、ウェハ処理の主な工程を示すフローチャートである。図10は、ウェハ処理の各工程におけるウェハWの状態を模式的に示している。なお、図10(a)に示すように基板処理システム1で処理されるウェハW上には、予め被処理膜400が形成されている。被処理膜400は、上述したように例えばポリシリコン膜である。
 先ず、ウェハWは、第1の処理部11の塗布現像処理装置20に搬送される。塗布現像処理装置20では、ウェハ搬送装置51によって、カセット載置台40上のカセットC内からウェハWが一枚取り出され、処理ステーション31の第3のブロックG3の例えば受け渡し装置83に搬送される。
 次に、ウェハWはウェハ搬送装置100によって第2のブロックG2の熱処理装置70に搬送され、温度調節される。その後ウェハWは、ウェハ搬送装置100によって第1のブロックG1の反射防止膜形成装置61に搬送され、図10(a)に示すようにウェハW上に反射防止膜401が形成される。その後ウェハWは、第2のブロックG2の熱処理装置70に搬送され、加熱され、温度調節され、その後第3のブロックG3の受け渡し装置83に戻される。
 次に、ウェハWはウェハ搬送装置120によって同じ第3のブロックG3の受け渡し装置84に搬送される。その後ウェハWは、ウェハ搬送装置100によって第2のブロックG2のアドヒージョン装置71に搬送され、アドヒージョン処理される。
 その後、ウェハWはウェハ搬送装置100によってレジスト塗布装置62に搬送され、回転中のウェハW上にレジスト液を塗布し、ウェハW上にレジスト膜が形成される。その後、ウェハWはウェハ搬送装置100によって熱処理装置70に搬送されて、プリベーク処理される。その後ウェハWは、ウェハ搬送装置100によって第3のブロックG3の受け渡し装置85に搬送される。
 次に、ウェハWはウェハ搬送装置100によって周辺露光装置72に搬送され、ウェハWの外周部が露光処理される。その後ウェハWは、ウェハ搬送装置100によって第3のブロックG3の受け渡し装置86に搬送される。
 次に、ウェハWはウェハ搬送装置120によって受け渡し装置82に搬送され、シャトル搬送装置110によって第4のブロックG4の受け渡し装置92に搬送される。
 その後、ウェハWはインターフェイスステーション32のウェハ搬送装置130によって露光装置21に搬送され、露光処理される。
 次に、ウェハWはウェハ搬送装置130によって露光装置21から第4のブロックG4の受け渡し装置90に搬送される。その後、ウェハWはウェハ搬送装置100によって第2のブロックG2の熱処理装置70に搬送され、露光後ベーク処理される。その後、ウェハWはウェハ搬送装置100によって現像装置60に搬送され、現像される。現像終了後、ウェハWはウェハ搬送装置100によって熱処理装置70に搬送され、ポストベーク処理される。
 その後、ウェハWは、ウェハ搬送装置100によって第3のブロックG3の受け渡し装置80に搬送され、その後カセットステーション30のウェハ搬送装置51によって所定のカセット載置部41のカセットCに搬送される。こうして、一連のフォトリソグラフィー工程が終了する。そして、図10(a)に示すようにウェハW上にレジストパターン402が形成される(図9の工程S1)。
 第1の処理部11においてウェハW上にレジストパターン402が形成されると、当該ウェハWを収納したカセットCは、塗布現像処理装置20から搬出され、次に第2の処理部12に搬送される。
 第2の処理部12では、先ず、ウェハ搬送機構210によって、カセット載置台212上のカセットCから1枚のウェハWが取り出され、ロードロック装置213a内に搬送される。ロードロック装置213a内にウェハWが搬送されると、ロードロック装置213a内が密閉され、減圧される。その後、ロードロック装置213a内と大気圧に対して減圧された状態(例えば略真空状態)の搬送室チャンバ214内とが連通させられる。そして、ウェハ搬送機構215によって、ウェハWがロードロック装置213aから搬出され、搬送室チャンバ214内に搬送される。
 搬送室チャンバ214内に搬送されたウェハWは、次にウェハ搬送機構215によってエッチング装置202に搬送される。エッチング装置202では、図10(b)に示すようにウェハW上のレジストパターン402がトリミングされ、その線幅が細くされる(図9の工程S2)。また、同時にトリミングされたレジストパターン402をマスクとして、ウェハW上の反射防止膜401がエッチングされる。そして、被処理膜400上に反射防止膜401のパターン403(以下、「反射防止膜パターン403」という場合がある。)が形成される(図9の工程S3)。なお、このレジストパターン402のトリミングと反射防止膜401のエッチングは、例えば酸素プラズマ等を用いたプラズマエッチングによって行うことができる。また、これらレジストパターン402と反射防止膜パターン403が本発明の有機膜のパターンを構成している。
 その後、ウェハWはウェハ搬送機構215によって搬送室チャンバ214内に戻され、続いてプラズマ成膜装置203に搬送される。プラズマ成膜装置203では、例えばCVD法によって、図10(c)に示すようにレジストパターン402上にシリコン窒化膜404が成膜される(図9の工程S4)。なお、このプラズマ成膜装置203におけるシリコン窒化膜404の成膜方法については、後述において詳しく説明する。
 その後、ウェハWはウェハ搬送機構215によって搬送室チャンバ214内に戻され、続いてエッチング装置204に搬送される。エッチング装置204では、図10(d)に示すように、シリコン窒化膜404がレジストパターン402及び反射防止膜パターン403の側壁部にのみ残るように、当該シリコン窒化膜404をエッチングする(図10の工程S5)。なお、このエッチングは、例えばCF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、又はこの混合ガスに必要に応じて酸素を添加したガス等を用いて行われる。
 その後、ウェハWはウェハ搬送機構215によって搬送室チャンバ214内に戻され、続いてアッシング装置205に搬送される。アッシング装置205では、例えば酸素プラズマ等を用いたアッシング等により、図14(d)に示すようにレジストパターン402と反射防止膜パターン403を除去する。そして、被処理膜400上にシリコン窒化膜404のパターン405(以下、「シリコン窒化膜パターン405」という場合がある。)が形成される(図9の工程S6)。
 その後、ウェハWはウェハ搬送機構215によって搬送室チャンバ214内に戻され、続いてロードロック室213b内に搬送される。その後、ウェハWはウェハ搬送機構210によって所定のカセットCに収納される。その後、ウェハWを収納したカセットCが第2の処理部12から搬出されて一連のウェハ処理が終了する。
 次に、上述した工程S4において、プラズマ成膜装置203で行われるリコン窒化膜404の成膜方法について説明する。
 先ず、例えばプラズマ成膜装置203の立ち上げ時に、第1のプラズマ励起用ガス供給口270から供給されるアルゴンガスの供給流量と第2のプラズマ励起用ガス供給口282から供給されるアルゴンガスの供給流量が、プラズマ生成領域R1内に供給されるアルゴンガスの濃度が均一になるように調整される。この供給流量調整では、例えば排気装置291を稼動させ、処理容器230内に実際の成膜処理時と同じような気流を形成した状態で、各プラズマ励起用ガス供給口270、282から適当な供給流量に設定されたアルゴンガスが供給される。そして、その供給流量設定で、実際に試験用のウェハに成膜が施され、その成膜がウェハ面内で均一に行われたか否かが検査される。プラズマ生成領域R1内のアルゴンガスの濃度が均一の場合に、ウェハ面内の成膜が均一に行われるので、検査の結果、成膜がウェハ面内において均一に行われていない場合には、各アルゴンガスの供給流量の設定が変更され、再度試験用のウェハに成膜が施される。これを繰り返して、成膜がウェハ面内において均一に行われプラズマ生成領域R1内のアルゴンガスの濃度が均一になるように、各プラズマ励起用ガス供給口270、282からの供給流量が設定される。
 上述したように各プラズマ励起用ガス供給口270、282の供給流量が設定された後、プラズマ成膜装置203におけるウェハWの成膜処理が開始される。先ず、ウェハWが処理容器230内に搬送され、載置台231上に吸着保持される。このとき、ウェハWの温度は100℃以下、例えば50℃~100℃に維持される。続いて、排気装置291により処理容器230内の排気が開始され、処理容器230内の圧力が所定の圧力、例えば20Pa~40Paに減圧され、その状態が維持される。
 ここで、発明者らが鋭意検討した結果、処理容器230内の圧力が20Paより低いとイオンのエネルギーが大きくなって、ウェハW上にシリコン窒化膜を適切に成膜することができないおそれがあることが分かった。このため、上述のように処理容器230内の圧力を20Pa~40Paに維持した。
 処理容器230内が減圧されると、プラズマ生成領域R1内に、側方の第1のプラズマ励起用ガス供給口270からアルゴンガスが供給されると共に、下方の第2のプラズマ励起用ガス供給口282から窒素ガスとアルゴンガスが供給される。このとき、プラズマ生成領域R1内のアルゴンガスの濃度は、プラズマ生成領域R1内において均等に維持される。また、窒素ガスは例えば64sccmの流量で供給される。ラジアルラインスロットアンテナ242からは、直下のプラズマ生成領域R1に向けて、例えば2.45GHzの周波数で2.5kW~3.0kWのパワーのマイクロ波が放射される。このマイクロ波の放射によって、プラズマ生成領域R1内においてアルゴンガスがプラズマ化され、窒素ガスがラジカル化(或いはイオン化)する。なお、このとき、下方に進行するマイクロ波は、プラズマ励起用ガス供給構造体280で反射し、プラズマ生成領域R1内に留まる。この結果、プラズマ生成領域R1内には、高密度のプラズマが生成される。
 プラズマ生成領域R1内で生成されたプラズマとラジカルは、プラズマ励起用ガス供給構造体280と原料ガス供給構造体260を通過して下方の原料ガス解離領域R2内に進入する。原料ガス解離領域R2には、原料ガス供給構造体260の各原料ガス供給口263からシランガスと水素ガスが供給されている。このとき、シランガスは例えば18sccmの流量で供給され、水素ガスは例えば21sccmの流量で供給される。なお、この水素ガスの供給流量は、後述するようにシリコン窒化膜404の膜特性に応じて設定される。シランガスと水素ガスは、それぞれ上方から進入したプラズマ粒子により解離される。そして、これらのラジカルとプラズマ生成領域R1から供給された窒素ガスのラジカルによって、ウェハW上にシリコン窒化膜404が堆積する。
 その後、シリコン窒化膜404の成膜が進んで、ウェハW上に所定厚さのシリコン窒化膜404が形成されると、マイクロ波の放射や、処理ガスの供給が停止される。その後、ウェハWは処理容器230から搬出されて一連のプラズマ成膜処理が終了する。こうして、ウェハW上に100MPa以下の低ストレスのシリコン窒化膜404が成膜される。
 ここで、発明者らが鋭意検討した結果、上述のプラズマ成膜処理によってウェハW上にシリコン窒化膜404を成膜する際、シランガス、窒素ガス及び水素ガスを含む処理ガスを用いると、シリコン窒化膜404の膜特性が向上することが分かった。
 図11は、上記実施の形態のプラズマ成膜方法を用いて、処理ガス中の水素ガスの供給流量を変動させた場合に、フッ酸に対するシリコン窒化膜404のウェットエッチングレートが変化する様子を示している。なお、このとき、シランガスの供給流量は18sccmであって、水素ガスの供給流量は21sccmであった。また、プラズマ成膜処理中、ウェハWの温度は100℃であった。
 図11を参照すると、シランガスと窒素ガスを含む処理ガス中にさらに水素ガスを添加することで、フッ酸に対するシリコン窒化膜404のウェットエッチングレートが低下することが分かった。したがって、処理ガス中の水素ガスによって、シリコン窒化膜404の緻密度が向上し、シリコン窒化膜404の膜質が向上する。また、シリコン窒化膜404のステップカバレッジも向上する。さらに、シリコン窒化膜404の屈折率が例えば2.0±0.1に向上することも分かった。しかも、水素ガスの供給流量の増加に伴い、フッ酸に対するシリコン窒化膜404のウェットエッチングレートは低下する。したがって、水素ガスの供給流量を制御することで、シリコン窒化膜404のウェットエッチングレートを制御することができ、シリコン窒化膜404の膜特性を制御することができる。
 図12は、上記実施の形態のプラズマ成膜方法を用いて、処理ガス中の水素ガスの供給流量を変動させた場合に、シリコン窒化膜404の膜ストレスが変化する様子を示している。なお、このとき、シランガスの供給流量は18sccmであって、水素ガスの供給流量は21sccmであった。また、プラズマ成膜処理中、ウェハWの温度は100℃であった。
 図12を参照すると、シランガスと窒素ガスを含む処理ガス中にさらに水素ガスを添加することで、シリコン窒化膜404の膜ストレスがマイナス側(圧縮側)に変化することが分かった。しかも、水素ガスの供給流量の増加に伴い、シリコン窒化膜404の膜ストレスが減少する。したがって、水素ガスの供給流量を制御することで、シリコン窒化膜404の膜ストレスを100MPa以下に制御することができ、シリコン窒化膜404の膜特性を制御することができる。なお、シリコン窒化膜404の膜ストレスの下限値は特に限定されるものではなく、当該膜ストレスは0MPaより大きく100MPa以下であればよい。
 以上のように、本実施の形態によれば、工程S4においてウェハWの温度を100℃以下の低温度に維持した状態でシリコン窒化膜404を形成しているので、レジストパターン402と反射防止膜パターン403がダメージを受けるのを回避できる。また、シリコン窒化膜404は100MPa以下の低ストレスを有するので、工程S5において、レジストパターン402と反射防止膜パターン403が変形することがない。さらに、工程S6においてレジストパターン402と反射防止膜パターン403を除去した後もシリコン窒化膜404は所定の形状を維持している。したがって、ウェハW上の被処理膜400をエッチングする際のマスクとなるシリコン窒化膜404を所定のパターンに適切に形成することができる。
 また本実施の形態によれば、水素ガスの供給流量を制御することで、シリコン窒化膜404のウェットエッチングレートや膜ストレスなどの膜特性を制御することができる。
 さらに本実施の形態では、ラジアルラインスロットアンテナ242から放射されるマイクロ波を用いてプラズマを生成している。ここで、発明者らが鋭意検討した結果、処理ガスがシランガス、窒素ガス及び水素ガスを含む場合、例えば図13に示すようにマイクロ波のパワーとシリコン窒化膜404の膜ストレスとは、略比例関係にあることが分かった。したがって、本実施の形態によれば、マイクロ波のパワーを制御することによっても、シリコン窒化膜404の膜ストレスを制御することができる。
 ここで、従来、ALD(Atomic Layer Deposiotion)法を用いてバッチ式にシリコン窒化膜404の成膜処理が行われる場合があった。このALD法を本実施の形態の基板処理システム1に適用した場合、ウェハW上にシリコン窒化膜404を成膜するにあたり、当該ウェハWを一旦基板処理システム1から搬出する必要がある。このため、ウェハ処理のスループットが低下する。これに対して、本実施の形態では、プラズマ成膜装置203が基板処理システム1の第2の処理部12内に配置され、当該プラズマ成膜装置203ではCVD法を用いて枚葉式に成膜処理が行われる。したがって、本実施の形態によれば、ウェハ処理のスループットを向上させることができる。
 以上の実施の形態のプラズマ成膜装置203では、原料ガス供給構造体260からシランガスと水素ガスを供給し、プラズマ励起用ガス供給構造体280から窒素ガスとアルゴンガスを供給していたが、水素ガスはプラズマ励起用ガス供給構造体280から供給されてもよい。あるいは、水素ガスは原料ガス供給構造体260とプラズマ励起用ガス供給構造体280の両方から供給されてもよい。いずれの場合でも、上述したように水素ガスの供給流量を制御することによって、シリコン窒化膜404の膜特性を制御することができる。
 なお以上の実施の形態では、シリコン窒化膜404の膜ストレスを制御する際、処理ガス中の水素ガスの供給流量を制御していたが、膜ストレスの制御方法は本実施の形態に限定されない。発明者らが鋭意検討した結果、例えばシランガスの供給流量と窒素ガスの供給流量との比率を制御することにより、シリコン窒化膜404の膜ストレスを制御できる。
 また以上の実施の形態では、処理ガスは窒素ガスを含んでいたが、窒素原子を有するガスであればこれに限定されない。例えば処理ガスはアンモニア(NH)ガスを含んでいてもよい。
 また以上の実施の形態のプラズマ成膜装置203では、ラジアルラインスロットアンテナ242からのマイクロ波によってプラズマを生成していたが、当該プラズマの生成は本実施の形態に限定されない。プラズマとしては、例えばCCP(容量結合プラズマ)、ICP(誘導結合プラズマ)、ECRP(電子サイクロトロン共鳴プラズマ)、HWP(ヘリコン波励起プラズマ)等を用いてもよい。いずれの場合でも、シリコン窒化膜404の成膜はウェハWの温度が100℃以下の低温度環境下で行われるため、高密度のプラズマを用いるのが好ましい。
 また以上の実施の形態では、被処理膜400をエッチングする際のマスクとしてシリコン窒化膜404を用いたが、例えばシリコン酸窒化膜(SiON膜)を用いてもよい。かかる場合、処理ガスには、上述したシランガス、窒素ガス及び水素ガスに加えて、酸素ガスも添加される。そして、発明者らが鋭意検討した結果、シリコン酸窒化膜に対して本発明を適用しても上述した効果を享受できることが確認されている。
 また以上の実施の形態では、被処理膜400としてポリシリコン膜を用いた場合について説明したが、例えば被処理膜400としてアモルファスシリコン膜、シリコン酸化膜(SiO膜)、TEOS膜など他の膜を用いることもできる。シリコン窒化膜404は、従来用いられていたシリコン酸化膜に比べて、被処理膜400に対する選択比が高い。したがって、シリコン窒化膜404をマスクとして用いた場合、エッチングできる被処理膜400の適用範囲が広くなる。
 また以上の実施の形態では、工程S6においてレジストパターン402と反射防止膜パターン403を除去していたが、工程S3の後、レジストパターン402を除去してもよい。すなわち、図14(a)に示すようにウェハW上にレジストパターン402を形成した後(図9の工程S1)、レジストパターン402をトリミングすると共に(図9の工程S2)、反射防止膜401をエッチングする(図9の工程S3)。その後、図14(b)に示すようにレジストパターン402を除去して、ウェハWの被処理膜400上に有機膜のパターンとしての反射防止膜パターン403を形成する。その後、図14(c)に示すように反射防止膜パターン403上にシリコン窒化膜404を成膜した後(図9の工程S4)、図14(d)に示すように当該シリコン膜404をエッチングし(図9の工程S5)、反射防止膜パターン403を除去する(図9の工程S6)。本実施の形態においても、被処理膜400上にシリコン窒化膜パターン405を適切に形成することができる。なお、これら工程S1~S6は上記実施の形態と同様であるので詳細な説明を省略する。
 以上の実施の形態において、工程S6の後、シリコン窒化膜パターン405の寸法を測定してもよい。かかる場合、例えば図15に示すように第2の処理部12に寸法測定装置500が配置される。寸法測定装置500は、例えば共通搬送部201の搬送室チャンバ214の外側に配置され、平面視において時計回転方向においてアッシング装置205の次に配置されている。図示の例においては、第2の処理部12には後述するエッチング装置510も配置されている。なお、寸法測定装置500の配置は本実施の形態に限定されず、寸法測定装置500は任意の位置に配置できる。例えば寸法測定装置500を搬送室211に隣接して配置してもよい。かかる場合、寸法測定装置500では、大気雰囲気中でシリコン窒化膜パターン405の寸法が測定される。
 寸法測定装置500では、例えばスキャトロメトリ(Scatterometry)法を用いてシリコン窒化膜パターン405の寸法を測定する。スキャトロメトリ法は、測定対象のウェハW上のシリコン窒化膜パターン405に光を照射することで検出されるウェハ面内の光強度分布と、予め記憶されている仮想の光強度分布とをマッチングし、光強度分布が適合した仮想のシリコン窒化膜パターンの寸法を実際のシリコン窒化膜パターン405の寸法と推定する方法である。なお、本実施の形態においては、シリコン窒化膜パターン405の寸法として、例えばシリコン窒化膜パターン405の線幅が測定されるが、シリコン窒化膜パターン405の高さ等の他の寸法を測定してもよい。
 かかる場合、工程S6においてシリコン窒化膜パターン405が成膜されたウェハWは、ウェハ搬送機構215によって寸法測定装置500に搬送される。寸法測定装置500では、上述したスキャトロメトリ法によってシリコン窒化膜パターン405の線幅が測定される。
 寸法測定装置500の測定結果は、例えば制御装置300に出力される。制御装置300では、測定されたシリコン窒化膜パターン405の線幅が所望の線幅になっていない場合に、当該測定結果に基づいて、例えばプラズマ成膜装置203における成膜処理の処理条件を補正する。具体的には、例えば窒素ガスの供給流量、処理中のウェハWの温度、処理容器230内の圧力などが補正される。こうしてプラズマ成膜装置203の処理条件がフィードバック制御され、補正後の処理条件で後続のウェハWが処理される。したがって、ウェハW上に所定の線幅のシリコン窒化膜パターン405を形成することができる。また、製品である半導体装置の歩留まりを向上させることもできる。
 なお、以上の実施の形態では、寸法測定装置500におけるシリコン窒化膜パターン405の寸法の測定結果に基づいて、プラズマ成膜装置203の処理条件を補正していたが、その他のエッチング装置202、204やアッシング装置205、第1の処理部11における各処理装置の処理条件を補正してもよい。
 また、以上の実施の形態では、寸法測定装置500において、シリコン窒化膜のパターン405の寸法を測定していたが、工程S2でトリミング後のレジストパターン402の寸法(又は工程S3でエッチングされた反射防止膜パターン403の寸法)を測定してもよい。なお、本実施の形態では、レジストパターン402の寸法として、例えばレジストパターン402の線幅が測定されるが、レジストパターン402の高さ等の他の寸法を測定してもよい。
 そして、寸法測定装置500においてレジストパターン402の線幅を測定した後、当該測定結果に基づいて、制御装置300においてエッチング装置202や第1の処理部11における各処理装置の処理条件を補正する。かかる場合においても、これらの処理条件がフィードバック制御されるので、補正後の処理条件で後続のウェハWを適切に処理することができる。なお、寸法測定装置500におけるレジストパターン402の測定方法については、上記実施の形態におけるシリコン窒化膜パターン405の寸法の測定方法と同様であるので説明を省略する。
 以上の実施の形態で説明したように、ウェハWの被処理膜400上にシリコン窒化膜パターン405が成膜されると、その後、当該シリコン窒化膜パターン405をマスクとして被処理膜400がエッチングされる。
 かかる場合、被処理膜400のエッチングは、例えば図15に示すようにエッチング装置510で行われる。エッチング装置510は、例えば基板処理システム1の第2の処理部12に配置される。具体的には、エッチング装置510は、例えば共通搬送部201の搬送室チャンバ214の外側に配置され、平面視において時計回転方向において寸法測定装置500の次に配置されている。なお、エッチング装置510には、一般的な装置を用いればよく、その構成の説明は省略する。
 そして、工程S6においてシリコン窒化膜パターン405が成膜されたウェハWは、ウェハ搬送機構215によってエッチング装置510に搬送される。エッチング装置510では、シリコン窒化膜パターン405をマスクとして被処理膜400をエッチングし、図16に示すようにウェハW上に被処理膜400のパターン520(以下、「被処理膜パターン520」という場合がある。)が形成される。このエッチングは、例えばHBrガス等を用いて行われる。こうして、半導体装置が製造される。
 本実施の形態によれば、ウェハW上にシリコン窒化膜パターン405が適切に形成されるので、ウェハW上に被処理膜パターン520も適切に形成することができる。したがって、半導体装置の歩留まりを向上させることができる。
 なお、本実施の形態では、エッチング装置510は、基板処理システム1内に配置されたが、基板処理システム1外に配置されていてもよい。
 以上、添付図面を参照しながら本発明の好適な実施の形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。本発明はこの例に限らず種々の態様を採りうるものである。本発明は、基板が半導体ウェハ以外のFPD(フラットパネルディスプレイ)用のガラス基板、フォトマスク用のマスクレチクルなどの他の基板である場合にも適用できる。
  1  基板処理システム
  11 第1の処理部
  12 第2の処理部
  20 塗布現像処理装置
  21 露光装置
  202 エッチング装置
  203 プラズマ成膜装置
  204 エッチング装置
  205 アッシング装置
  242 ラジアルラインスロットアンテナ
  260 原料ガス供給構造体
  263 原料ガス供給口
  270 第1のプラズマ励起用ガス供給口
  280 プラズマ励起用ガス供給構造体
  282 第2のプラズマ励起用ガス供給口
  290 排気口
  300 制御装置
  400 被処理膜
  401 反射防止膜
  402 レジストパターン
  403 反射防止膜パターン
  404 シリコン窒化膜
  405 シリコン窒化膜パターン
  500 寸法測定装置
  510 エッチング装置
  520 被処理膜のパターン
  R1 プラズマ生成領域
  R2 原料ガス解離領域
  W  ウェハ

Claims (10)

  1. 基板上の被処理膜をエッチングする際のマスクとなる所定のパターンを形成するパターン形成方法であって、
    基板の被処理膜上に有機膜のパターンを形成する有機膜パターン形成工程と、
    その後、前記有機膜のパターン上にシリコン窒化膜を成膜する成膜工程と、
    その後、前記シリコン窒化膜が前記有機膜のパターンの側壁部にのみ残るように当該シリコン窒化膜をエッチングした後、前記有機膜のパターンを除去し、基板の被処理膜上に前記シリコン窒化膜の前記所定のパターンを形成するシリコン窒化膜パターン形成工程と、を有し、
    前記成膜工程は、
    基板の温度を100℃以下に維持した状態で、処理ガスを励起させてプラズマを生成し、当該プラズマによるプラズマ処理を行って、100MPa以下の膜ストレスを有するシリコン窒化膜を形成する。
  2. 請求項1に記載のパターン形成方法であって、
    前記処理ガスは、シランガス、窒素原子を有するガス及び水素ガスを含み、
    前記成膜工程では、前記水素ガスの供給流量を制御して、前記シリコン窒化膜の膜ストレスを制御する。
  3. 請求項1に記載のパターン形成方法であって、
    前記処理ガスは、シランガス、及び窒素原子を有するガスを含み、
    前記成膜工程では、前記シランガスの供給流量と前記窒素原子を有するガスの供給流量との比率を制御して、前記シリコン窒化膜の膜ストレスを制御する。
  4. 請求項1に記載のパターン形成方法であって、
    前記成膜工程において、処理雰囲気は20Pa~40Paに維持されている。
  5. 請求項1に記載のパターン形成方法であって、
    前記成膜工程において、前記プラズマは、マイクロ波によって前記処理ガスが励起されて生成される。
  6. 請求項1に記載のパターン形成方法であって、
    前記シリコン窒化膜パターン形成工程後、前記シリコン窒化膜のパターンの寸法を測定し、当該測定結果に基づいて、前記成膜工程の処理条件を補正する。
  7. 請求項1に記載のパターン形成方法であって、
    前記有機膜パターン形成工程後、前記有機膜のパターンの寸法を測定し、当該測定結果に基づいて、前記有機膜パターン形成工程の処理条件を補正する。
  8. 請求項1に記載のパターン形成方法であって、
    前記有機膜パターン形成工程において、
    基板にフォトリソグラフィー処理を行い、当該基板の被処理膜上にレジストパターンを形成し、
    その後、前記レジストパターンをトリミングすると共に、当該レジストパターンの下層の反射防止膜をエッチングし、前記有機膜のパターンとして前記レジストパターン及び前記反射防止膜のパターンを形成する。
  9. 請求項1に記載のパターン形成方法であって、
    前記有機パターン形成工程において、
    基板にフォトリソグラフィー処理を行い、当該基板の被処理膜上にレジストパターンを形成し、
    その後、前記レジストパターンをトリミングすると共に、当該レジストパターンの下層の反射防止膜をエッチングし、
    その後、前記レジストパターンを除去し、前記有機膜のパターンとして前記反射防止膜のパターンを形成する。
  10. 半導体装置の製造方法であって、
    基板の被処理膜上に有機膜のパターンを形成する有機膜パターン形成工程と、
    その後、基板の温度を100℃以下に維持した状態で、処理ガスを励起させてプラズマを生成し、当該プラズマによるプラズマ処理を行って、前記有機膜のパターン上に100MPa以下の膜ストレスを有するシリコン窒化膜を成膜する成膜工程と、
    その後、前記シリコン窒化膜が前記有機膜のパターンの側壁部にのみ残るように当該シリコン窒化膜をエッチングした後、前記有機膜のパターンを除去し、基板の被処理膜上に前記シリコン窒化膜の前記所定のパターンを形成するシリコン窒化膜パターン形成工程と、を有するパターン形成方法を行って基板の被処理膜上に前記シリコン窒化膜のパターンを形成した後、
    前記シリコン窒化膜のパターンをマスクとして基板上の被処理膜をエッチングして、半導体装置を製造する。
PCT/JP2012/053986 2011-02-22 2012-02-20 パターン形成方法及び半導体装置の製造方法 WO2012115043A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/000,643 US8809207B2 (en) 2011-02-22 2012-02-20 Pattern-forming method and method for manufacturing semiconductor device
KR1020137022018A KR101393185B1 (ko) 2011-02-22 2012-02-20 패턴 형성 방법 및 반도체 장치의 제조 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-035594 2011-02-22
JP2011035594A JP5473962B2 (ja) 2011-02-22 2011-02-22 パターン形成方法及び半導体装置の製造方法

Publications (1)

Publication Number Publication Date
WO2012115043A1 true WO2012115043A1 (ja) 2012-08-30

Family

ID=46720820

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/053986 WO2012115043A1 (ja) 2011-02-22 2012-02-20 パターン形成方法及び半導体装置の製造方法

Country Status (5)

Country Link
US (1) US8809207B2 (ja)
JP (1) JP5473962B2 (ja)
KR (1) KR101393185B1 (ja)
TW (1) TWI490912B (ja)
WO (1) WO2012115043A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369899A (zh) * 2015-11-20 2018-08-03 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN111627809A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6692754B2 (ja) * 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
CN109935717B (zh) * 2017-12-15 2021-05-25 京东方科技集团股份有限公司 封装结构及封装方法、电致发光器件、显示装置
CN112189255B (zh) * 2018-03-20 2024-05-28 东京毅力科创株式会社 自对准多重图案化的方法和半导体加工方法
JP7071884B2 (ja) * 2018-06-15 2022-05-19 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP2020150133A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
JPH07235615A (ja) * 1993-12-31 1995-09-05 Ricoh Co Ltd プラズマシリコン窒化膜をもつ半導体装置の製造方法
JP2003297830A (ja) * 2002-04-03 2003-10-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005166400A (ja) * 2003-12-02 2005-06-23 Samco Inc 表面保護膜
JP2008214677A (ja) * 2007-03-01 2008-09-18 Toyota Central R&D Labs Inc バリア膜及びバリア膜の製造方法
JP2009246131A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
JP2009278039A (ja) * 2008-05-19 2009-11-26 Toshiba Corp 半導体装置の製造方法
JP2010511306A (ja) * 2006-11-29 2010-04-08 マイクロン テクノロジー, インク. 半導体デバイスのクリティカルディメンジョンを縮小する方法、及び、部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイス

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2008117798A1 (ja) 2007-03-26 2010-07-15 東京エレクトロン株式会社 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
JP5236983B2 (ja) 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
JPH07235615A (ja) * 1993-12-31 1995-09-05 Ricoh Co Ltd プラズマシリコン窒化膜をもつ半導体装置の製造方法
JP2003297830A (ja) * 2002-04-03 2003-10-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005166400A (ja) * 2003-12-02 2005-06-23 Samco Inc 表面保護膜
JP2010511306A (ja) * 2006-11-29 2010-04-08 マイクロン テクノロジー, インク. 半導体デバイスのクリティカルディメンジョンを縮小する方法、及び、部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイス
JP2008214677A (ja) * 2007-03-01 2008-09-18 Toyota Central R&D Labs Inc バリア膜及びバリア膜の製造方法
JP2009246131A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
JP2009278039A (ja) * 2008-05-19 2009-11-26 Toshiba Corp 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369899A (zh) * 2015-11-20 2018-08-03 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
CN111627809A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
CN111627809B (zh) * 2019-02-28 2024-03-22 东京毅力科创株式会社 基片处理方法和基片处理装置

Also Published As

Publication number Publication date
US20140080307A1 (en) 2014-03-20
KR20130103627A (ko) 2013-09-23
KR101393185B1 (ko) 2014-05-08
TW201250775A (en) 2012-12-16
TWI490912B (zh) 2015-07-01
JP2012174891A (ja) 2012-09-10
US8809207B2 (en) 2014-08-19
JP5473962B2 (ja) 2014-04-16

Similar Documents

Publication Publication Date Title
JP5473962B2 (ja) パターン形成方法及び半導体装置の製造方法
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
JP4854317B2 (ja) 基板処理方法
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
US7829471B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US9859126B2 (en) Method for processing target object
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20200381263A1 (en) Method of processing target object
US9911607B2 (en) Method of processing target object
US20100093179A1 (en) Pattern forming method and semiconductor device manufacturing method
KR101846848B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI518217B (zh) Etching method and etching device
US11133192B2 (en) Workpiece processing method
JP2011176177A (ja) 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
CN109427607B (zh) 处理被处理体的方法
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
EP4325550A1 (en) Substrate processing method
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12748882

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20137022018

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 14000643

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 12748882

Country of ref document: EP

Kind code of ref document: A1