KR20100106501A - 고 식각율 레지스트 마스크를 이용한 식각 - Google Patents

고 식각율 레지스트 마스크를 이용한 식각 Download PDF

Info

Publication number
KR20100106501A
KR20100106501A KR1020107016104A KR20107016104A KR20100106501A KR 20100106501 A KR20100106501 A KR 20100106501A KR 1020107016104 A KR1020107016104 A KR 1020107016104A KR 20107016104 A KR20107016104 A KR 20107016104A KR 20100106501 A KR20100106501 A KR 20100106501A
Authority
KR
South Korea
Prior art keywords
protective layer
gas
layer
feature
mask
Prior art date
Application number
KR1020107016104A
Other languages
English (en)
Inventor
앤드류 알 로마노
레자 에스 엠 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100106501A publication Critical patent/KR20100106501A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

식각층에 피쳐를 식각하는 방법이 제공된다. 패터닝된 마스크는 식각층 상부에 형성되고, 여기서 패터닝된 마스크는 고 식각율 포토레지스트 재료이고, 여기서 패터닝된 마스크는 패터닝된 마스크 피쳐를 가진다. 보호층은 순환식 증착을 수행함으로써 고 식각율 포토레지스트 재료의 패터닝된 마스크 상에 증착되고, 각 사이클은, 고 식각율 포토레지스트 재료의 패터닝된 마스크의 측벽을 포함하여 노출된 표면 상부에 증착층을 증착하는 증착 페이즈, 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함한다. 보호층을 마스크로 사용하여 피쳐가 식각층에 식각된다. 보호층이 제거된다.

Description

고 식각율 레지스트 마스크를 이용한 식각{ETCH WITH HIGH ETCH RATE RESIST MASK}
본 발명은 반도체 디바이스의 형성에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 반도체 디바이스의 피쳐는 잘 알려진 패터닝 및 식각 프로세스를 사용하여 웨이퍼 내에 정의된다. 이들 프로세스에서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착된 다음, 레티클에 의해 필터링된 광에 노광된다. 레티클은 일반적으로 레티클을 통해 광이 전파되는 것을 방지하는 예시적인 피쳐 지오메트리로 패터닝된 유리판이다.
레티클을 통과한 이후, 광이 포토레지스트 재료의 표면과 콘택한다. 광은 포토레지스트 재료의 화학적 성분을 변화시켜, 현상제가 포토레지스트 재료의 일부를 제거할 수 있다. 포지티브 포토레지스트 재료의 경우 노광 영역이 제거되고, 네가티브 포토레지스트 재료의 경우 비노광 영역이 제거된다.
이후, 웨이퍼를 식각하여 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역으로부터 하부 재료를 제거하고, 이로써 웨이퍼 내에 원하는 피쳐를 정의한다. 포토레지스트 재료는, 포토레지스트 마스크가 식각 프로세스 동안 너무 빨리 제거되는 것을 방지하기 위해서, 즉, 식각 마스크로서의 기능을 수행하기 위해서, 내식각성 (etch resistant) 성분을 필요로 한다. 내식각성 첨가제는 2000년 8월 15일 발행된 Willson 등에 의한 미국 특허 6,103,445호 및 2000년 11월 7일에 발행된 Choi에 의한 미국 특허 6,143,466호에 논의되어 있으며, 양 특허는 모든 목적을 위한 참조로써 통합된다. 내식각 첨가제의 예는 193 레지스트용으로 노로보렌, 아다만탄 및 그 유도체이고, 248 레지스트용으로 벤젠 및 페닐 및 그 유도체이다.
이들 특허는 또한 화학적 증폭형 (chemically amplified) 포토레지스트 재료가 화학적 증폭형 포토레지스트 성분을 제공하는 화학적 증폭 성분을 가질 수도 있음을 개시하고 있다.
전술한 내용을 본 발명에 따라서 달성하기 위해서, 식각층 내에 피쳐를 식각하는 방법이 제공된다. 패터닝된 마스크가 식각층 상부에 형성되며, 여기서 패터닝된 마스크는 내식각성이 거의 없거나 또는 없는 포토레지스트 재료이고, 패터닝된 마스크는 패터닝된 마스크 피쳐를 가진다. 보호층은, 순환식 (cyclical) 증착을 수행함으로써 이 고 식각율 포토레지스트 재료의 패터닝된 마스크 상에 증착되며, 각 사이클은 고 식각율 포토레지스트 재료의 패터닝된 마스크의 측벽을 포함하여 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈 (phase), 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함한다. 보호층을 마스크로 사용하여 식각층에 피쳐가 식각된다. 보호층이 제거된다.
본 발명의 다른 양태에 있어서, 식각층은 기판에 의해 지지되고 그리고 식각층은 마스크 피쳐를 가진 패터닝된 고 식각율 포토레지스트 마스크에 의해 커버되며, 고 식각율 포토레지스트는 내식각성 첨가제가 없거나 또는 식각 향상 첨가제가 있는, 식각층에 피쳐를 형성하기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버가, 플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버벽, 플라즈마 프로세싱 챔버 인클로져 내에서 기판을 지지하기 위한 기판 지지체, 플라즈마 프로세싱 챔버 인클로져에서의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 프로세싱 챔버 인클로져 내부로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배출하기 위한 가스 배출구를 포함하여, 제공된다. 가스 소스는 가스 유입구와 유체 연통되며, 증착 가스 소스, 프로파일 형상화 가스 소스, 및 식각 가스 소스를 포함한다. 제어부는 가스 소스 및 적어도 하나의 전극에 제어가능하게 연결되며, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 두께 0.5 nm ~ 30 nm 의 측벽을 가진 보호층을 형성하는 보호층 증착을 2 ~ 3 사이클 동안 제공하기 위한 컴퓨터 판독가능 코드로서, 각 사이클이, 증착 가스 소스로부터 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 증착 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드와, 첫번째 증착 가스의 플로우가 정지된 이후 프로파일 형상화 가스 소스로부터 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 프로파일 형상화 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 보호층 증착을 제공하기 위한 컴퓨터 판독가능 코드; 식각 가스 소스로부터 플라즈마 프로세싱 챔버로의 에천트 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드; 에천트 가스를 사용하여, 식각층에 피쳐를 식각하기 위한 컴퓨터 판독가능 코드; 및 보호층 및 고 식각율 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 또 다른 양태에서, 식각층에 피쳐를 식각하기 위한 방법이 제공된다. 패터닝된 마스크가 식각층 상부에 형성되고, 여기서 패터닝된 마스크는 고 식각율 포토레지스트 재료이며, 여기서 패터닝된 마스크는 패터닝된 마스크 피쳐를 가진다. 보호층은 순환식 증착을 수행함으로써 고 식각율 포토레지스트 재료의 패터닝된 마스크 상에 증착되며, 여기서 각 사이클은 고 식각율 포토레지스트 재료의 패터닝된 마스크의 측벽을 포함하여, 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈, 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함한다. 고 식각율 포토레지스트 재료가 제거되고, 보호층의 측벽을 남긴다. 보호층의 측벽을 마스크로서 사용하여 피쳐가 식각층에 식각된다. 보호층이 제거된다.
본 발명의 또 다른 양태는 식각층에 피쳐를 형성하기 위한 장치를 제공하며, 여기서 식각층은 기판에 의해 지지되고, 식각층은 마스크 피쳐를 가진 패터닝된 고 식각율 포토레지스트 마스크에 의해 커버되며, 고 식각율 포토레지스트는 내식각성 첨가제가 없다. 플라즈마 프로세싱 챔버가, 플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버벽, 플라즈마 프로세싱 챔버 인클로져 내에서 기판을 지지하기 위한 기판 지지체, 플라즈마 프로세싱 챔버 인클로져에서의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 프로세싱 챔버 인클로져 내부로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배출하기 위한 가스 배출구를 포함하여, 제공된다. 가스 소스는 가스 유입구와 유체 연통되며, 증착 가스 소스, 프로파일 형상화 가스 소스, 및 식각 가스 소스를 포함한다. 제어부가 가스 소스 및 적어도 하나의 전극에 제어가능하게 연결되며, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 측벽을 가진 보호층을 형성하기 위해 복수 사이클을 제공하기 위한 컴퓨터 판독가능 코드로서, 보호층이 고 식각율 포토레지스트의 상부 표면 상에 형성되지 않으며, 각 사이클은, 증착 가스 소스로부터 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 증착 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드와, 첫번째 증착 가스의 플로우가 정지된 이후 프로파일 형상화 가스 소스로부터 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 프로파일 형상화 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 보호층 증착을 제공하기 위한 컴퓨터 판독가능 코드; 보호층의 측벽을 제거하지 않고 고 식각율 포토레지스트를 제거하기 위한 컴퓨터 판독가능 코드; 식각 가스 소스로부터 플라즈마 프로세싱 챔버로의 에천트 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드; 에천트 가스를 사용하고 보호층 측벽을 마스크로 사용하여, 식각층에 피쳐를 식각하기 위한 컴퓨터 판독가능 코드; 및 보호층 및 고 식각율 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 또 다른 양태에서, 식각층에 피쳐를 식각하기 위한 방법이 제공된다. 패터닝된 마스크가 식각층 상부에 형성되며, 여기서 패터닝된 마스크는 고 식각율 포토레지스트 재료이고, 패터닝된 마스크는 패터닝된 마스크 피쳐를 갖는다. 순환식 증착을 수행함으로써 고 식각율 포토레지스트 재료의 패터닝된 마스크 상에 보호층이 증착되고, 여기서 각 사이클은 고 식각율 포토레지스트 재료의 패터닝된 마스크의 측벽을 포함하여 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈, 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함하며, 여기서 보호층이 고 식각율 포토레지스트 마스크의 상부 및 측벽 상부에 증착된다. 보호층을 마스크로 사용하여 피쳐가 식각층에 식각된다. 보호층이 제거된다.
이하, 본 발명의 이런 저런 피쳐가 본 발명의 상세한 설명에서 하기 도면과 결합하여 보다 상세히 기재된다.
본 발명은 첨부된 도면의 그림에서 제한으로써가 아니라 예시로써 도시되며, 유사 도면 부호는 유사 엘리먼트를 나타낸다.
도 1은 본 발명의 실시형태에서 사용될 수 있는 프로세스의 하이 레벨 플로우 차트이다.
도 2a 내지 도 2d는 본 발명의 실시형태에 따라 프로세싱되는 스택의 개략 단면도이다.
도 3은 본 발명의 실시형태에서 사용될 수 있는 다른 프로세스의 하이 레벨 플로우 차트이다.
도 4a 내지 도 4e는 본 발명의 일 실시예에 따라서 프로세싱되는 다른 스택의 개략 단면도이다.
도 5는 본 발명의 실행시 사용될 수 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 6a 및 도 6b는 본 발명의 실시형태에서 사용되는 제어부를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
이하, 본 발명은 첨부된 도면에 기재된 수개의 바람직한 실시형태를 참조하여 상세히 기재된다. 하기 설명에서, 본 발명의 철저한 이해를 제공하기 위해서 다수의 특정 상세가 기재된다. 하지만, 당업자들에게는, 본 발명이 이들 특정 상세의 일부 또는 전부없이도 실행될 수 있음이 명백하다. 즉, 본 발명을 불필요하게 모호하게 하지 않기 위해서 잘 알려진 프로세스 단계들 및/또는 구조들은 상세히 기재하지 않고 있다.
내식각성 첨가제의 사용은 여러가기 문제들을 유발할 수 있다. 내식각성 첨가제는 포토레지스트의 비용을 증가시킨다. 내식각성 첨가제는 광의 다양한 주파수에 대한 포토레지스트의 투명성을 감소시킬 수 있다. 내식각성 첨가제는 라인 에지 거칠기를 증가시킬 수 있다. 상이한 내식각성 첨가제는 상이한 노광 주파수의 일부에만 유효할 수 있기 때문에, 내식각성 첨가제는 리소그래픽 공정에 대한 복잡함 및 포토레지스트 시스템의 제작 및 개발에서의 복잡함을 좀 더 요구한다.
웨이퍼가 노광 이후 가열되는 경우, 광자가 흡수되는 곳 주위의 단일 광자의 반응을 증폭시키는 촉매 작용이 일어나며, 그 결과 단일 광자가 증폭되어 100개 이상의 반응을 유발할 수 있다. 이러한 증폭은 15 nm 정도일 수 있는 레졸루션의 블러 (blur) 를 유발할 수 있다. EUV 리소그래피 및 하이 NA 193nm 임머젼에 대해서는 30 nm 레졸루션이 바람직하다. 화학적 증폭으로부터의 블러는 그러한 레졸루션을 방해할 수 있다.
내식각성 첨가제가 포토레지스트를 보다 내식각성 있게 하기 때문에, 내식각성 첨가제 존재 하에서의 화학적 증폭이 보다 바람직하다. 내식각성 첨가제가 없는 고 식각율 포토레지스트의 경우, 일부 실시형태에서, 그러한 포토레지스트는 또한 화학적 증폭 첨가제가 없을 수도 있다 (비화학적 증폭형).
그 본연의 "레지스트" 식각에 의한 포토레지스트이지만, 내식각성 첨가제는 현상 비용 및 폴리머의 원료 비용을 증가시킨다. 내식각성 첨가제는 또한 벌키 모노머의 가교가 폴리머 사슬에 지장을 주는 것처럼 네가티브 레지스트를 형성하는 것을 복잡하게 하고, 가교 형성을 보다 어렵게 하며, 따라서 그러한 시스템의 콘트라스트를 감소시킨다. 통상적으로, 라인 에지 거칠기 및 모노머 크기 사이에 상관관계가 있다고 생각된다. 모노머 단위는, 큰 식각기 (etch group) 가 측쇄에 부착되어야 하거나 폴리머 백본에 결합되어야 할 때, 보다 크다. 또한 내식각성 첨가제는, 확산이 이슈인, (예를 들어, EUV 또는 하이 NA 임머젼에서 사용하기 위한) 고감도 비화학적 증폭형 레지스트의 형성을 복잡하게 한다. 고감도 로우 LER 비화학적 증폭형의 형성은, 내식각성 첨가제를 배제시키고 본 발명의 실시형태를 이용함으로써 크게 단순화될 수 있다.
본 발명은 식각층 식각시 내식각성이 낮은 고 식각율 포토레지스트를 사용한다. 보다 바람직하게, 본 발명은 식각층을 식각하기 위한 패터닝된 마스크로서 내식각성 첨가제가 없는 고 식각율 포토레지스트를 사용한다.
본 발명의 실시형태는, SaDPT (Self Aligned Double Patterned) 프로세스라 불리는 것을 형성하기 위해, 보다 높은 감도를 가지고, 2개의 증착된 폴리머 사이에, 언급된 고 식각율 레지스트를 사용할 수 있다. 이들 SaDPT 프로세스는, 노광의 파장이 보다 작은 피치를 달성할 수 없는 경우, 이미지 툴의 피치 사이즈를 감소시키기 위해 요구되는 패터닝 밀도를 배가시키기 위해 사용될 수 있다.
이해를 돕기 위한 것으로, 도 1은 본 발명의 실시형태에서 사용될 수 있는 프로세스의 하이 레벨 플로우 차트이다. 고 식각율 포토레지스트 패터닝된 식각 마스크는 식각층 상부에 형성된다 (단계 104). 고 식각율 포토레지스트는 내식각성이 낮다. 보다 바람직하게, 고 식각율 포토레지스트는 내식각성 첨가제가 없다. 도 2a는 기판 (204) 상부의 식각층 (208) 의 개략 단면도이다. 마스크 피쳐 (214) 를 가진 고 식각율 포토레지스트 재료 (212) 의 패터닝된 식각 마스크가 기판 (204) 상부의, 식각층 (208) 상부의, 반사방지층 (ARL)(210) 상부에 있으며, 이는 스택 (200) 을 형성한다.
보호층의 순환식 형성은 고 식각율 포토레지스트 상에 보호층을 형성하기 위해 수행된다 (단계 108). 순환식 보호층 형성 프로세스는 적어도 식각 마스크 피쳐 (214) 의 측벽 상부에 층을 증착하는 단계 (단계 109) 및 이후 증착층의 프로파일을 형상화하는 단계 (단계 110) 를 포함한다. 도 2b는, 순환식 보호층 형성에 의해 형성되고, 피쳐 (214) 의 측벽 상부에 증착된, 보호층 (220) 을 가진 패터닝된 식각 마스크 (212) 의 개략 단면도이다. 이 실시형태에서, 보호층의 형성은 도시된 바와 같이 마스크 피쳐 (214) 의 저부에서 수평 ARL (210) 표면 상부에 층을 형성하지 않는다. 이 실시예에서, 보호층은 포토레지스트 마스크의 상부의 수평 표면 상에 형성된다.
피쳐는 이후 식각층 (208) 내부에 식각된다 (단계 112). 도 2c는 식각층 (208) 에 식각된 피쳐 (232) 를 도시한다. 보호층이 이후 제거될 수 있다 (단계 116). 이 단계는 고 식각율 포토레지스트의 패터닝된 마스크 및 ARL 을 동시에 제거할 수 있다. 다른 실시형태에서, 이들 층은 개별 단계에서 제거될 수도 있다. 도 2d는 증착층 및 식각 마스크가 제거된 이후의 스택 (200) 을 도시한다. 추가 형성 단계가 수행될 수도 있다 (단계 120). 예를 들어, 콘택이 이후 피쳐에 형성될 수 있다. 듀얼 다마신 구조를 제공하기 위해서, 콘택이 형성되기 이전에 트랜치가 식각될 수도 있다. 선택적으로, 메모리 디바이스를 형성하기 위해서 추가 단계들이 적용될 수도 있다.
유전체 식각의 실시예
본 발명의 실시예에서, 식각될 층은 유전체층 (208) 이며, 이것은 도 2a 에 도시된 바와 같이 기판 (204) 상부에 배치된다. 반사방지층 (ARL)(210) 은 유전체층 (208) 상부에 배치된다. 248 nm 포토레지스트의 패터닝된 고 식각율 포토레지스트 마스크 (212) 가 ARL (210) 상부에 배치된다 (단계 104). 포토레지스트 마스크 피쳐 (214) 가 패터닝된 고 식각율 포토레지스트 마스크 (212) 에 형성된다. 현재, 248 nm 포토레지스트 식각 마스크에 있어서는 포토레지스트의 통상의 CD 가 종래의 프로세스를 사용하여 100-250 nm 일 수 있다. 기판은 플라즈마 프로세싱 챔버 내에 배치된다.
도 5는 보호층 형성, 식각, 및 박리를 수행하기 위해 사용될 수 있는 플라즈마 프로세싱 챔버 (500) 의 개략도이다. 플라즈마 프로세싱 챔버 (500) 는 컨파인먼트 링 (502), 상부 전극 (504), 하부 전극 (508), 가스 소스 (510), 및 배출 펌프 (520) 를 포함한다. 플라즈마 프로세싱 챔버 (500) 내에서, 기판 (204) 은 하부 전극 (508) 상부에 배치된다. 하부 전극 (508) 은 기판 (204) 을 홀딩하기 위한 적합한 기판 척킹 메카니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 포함한다. 반응기 상부 (528) 는 하부 전극 (508) 에 바로 대향 배치되는 상부 전극 (504) 을 포함한다. 상부 전극 (504), 하부 전극 (508), 및 컨파인먼트 링 (502) 은 컨파인된 플라즈마 체적을 정의한다. 가스가 가스 소스 (510) 에 의해 컨파인된 플라즈마 체적에 공급되고, 배출 펌프 (520) 에 의해서 컨파인먼트 링 (502) 및 배출 포트를 통해 컨파인된 플라즈마 체적으로부터 배출된다. 재 1 RF 소스 (544) 는 상부 전극 (504) 에 전기적으로 연결된다. 제 2 RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 연결된다. 챔버 벽 (552) 은 컨파인먼트 링 (502), 상부 전극 (504), 및 하부 전극 (508) 을 둘러싼다. 제 1 RF 소스 (544) 및 제 2 RF 소스 (548) 모두는 27 MHz 전력 소스 및 2 MHz 전력 소스를 포함할 수 있다. 전극에 RF 전력을 연결하는 상이한 조합이 가능하다. 캘리포니아, 프레몬트의 LAM Research Corporation™ 에 의해 제작된, 챔버에 부착된 Turbo Pump 를 가진 Exelan HP와 기본적으로 동일하고, 본 발명의 바람직한 실시형태에서 사용될 수 있는 Exelan HPT™ 의 경우, 27 MHz 및 2 MHz 전력 소스 양자가 하부 전극에 연결된 제 2 RF 전력 소스 (548) 를 메이크업하고, 상부 전극은 접지된다. 제어부 (535) 는 RF 소스 (544, 548), 배출 펌프 (520), 및 가스 소스 (510) 에 제어가능하도록 연결된다. Exelan HPT 는, 식각될 층 (208) 이 실리콘 산화물 또는 유기 실리케이트 글라스와 같은 유전체층인 경우 사용될 수 있다.
도 6a 및 도 6b는 본 발명의 실시형태에서 사용되는 제어부 (535) 를 구현하기에 적합한 컴퓨터 시스템 (1300) 을 나타낸다. 도 6a는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄회로 기판 및 소형 휴대 장치에서부터 대형 슈퍼 컴퓨터까지에 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (1300) 은 모니터 (1302), 디스플레이 (1304), 하우징 (1306), 디스크 드라이브 (1308), 키보드 (1310) 및 마우스 (1312) 를 포함한다. 디스크 (1314) 는 컴퓨터 시스템 (1300) 으로 및 컴퓨터 시스템 (1300) 으로부터 데이터를 전달하는데 사용되는 컴퓨터 판독가능 매체이다.
도 6b 는 컴퓨터 시스템 (1300) 의 블록도의 일 예이다. 다양한 서브시스템이 시스템 버스 (1320) 에 부착된다. 프로세서(들)(1322)(또한 중앙 처리장치 또는 CPU 라고 칭함) 는, 메모리 (1324) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (1324) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 당업계에 잘 알려진 바와 같이, ROM 은 데이터 및 명령들을 일방향으로 CPU 에 전달하도록 작용하고, RAM 은 양방향 방식으로 데이터 및 명령들을 전달하기 위해 일반적으로 사용된다. 이러한 유형의 메모리들 모두는 이하 설명되는 임의의 적합한 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (1326) 는 또한 CPU (1322) 에 양방향으로 커플링되는데; 그것은 추가적인 데이터 저장 용량을 제공하며, 또한 이하 설명되는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (1326) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있으며, 일반적으로 주 저장소보다 더 느린 (하드 디스크와 같은) 보조 저장 매체이다. 적절한 경우에, 고정 디스크 (1326) 내에 보존되는 정보가 메모리 (1324) 에서의 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것이 이해될 것이다. 탈착가능 디스크 (1314) 는 이하 설명되는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
CPU (1322) 는 또한 디스플레이 (1304), 키보드 (1310), 마우스 (1312) 및 스피커 (1330) 와 같은 각종 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는, 영상 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치-감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿 (tablet), 스타일러스 (stylus), 음성 또는 핸드라이팅 인식기, 바이오메트리 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. CPU (1322) 는 선택적으로 네트워크 인터페이스 (1340) 를 사용하여 다른 컴퓨터 또는 전기통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스에 의해, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 상술한 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력할 수도 있다고 생각된다. 또한, 본 발명의 방법 실시형태는 오직 CPU (1322) 상에서만 실행할 수도 있고, 또는 처리의 일부를 공유하는 원격 CPU 와 결합하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
부가하여, 본 발명의 실시형태는 또한 다양한 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 구비한 컴퓨터 저장 제품에 관한 것이다. 그 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있으며, 또는 컴퓨터 소프트웨어 업계의 당업자에게 이용가능하고 주지된 종류의 것일 수도 있다. 컴퓨터 판독가능 매체의 예는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플옵티컬 디스크와 같은 자기광학 매체; 및 주문형 집적회로 (ASIC), 프로그램가능 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만, 이에 한정되지 않는다. 컴퓨터 코드의 예는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 하이 레벨의 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
다른 실시예는 본 발명을 구현하기 위해 다른 디바이스를 사용할 수도 있다.
다음, 보호층의 순환식 형성은 보호층을 제공하기 위해 수행된다 (단계 108). 이 실시예에서, 증착 페이즈 (단계 109) 는 증착 가스를 제공하는 것과 증착 가스로부터 플라즈마를 발생시켜 증착층을 형성하는 것을 포함한다. 이 실시예에서, 증착 가스는 폴리머 형성 레시피를 포함한다. 그러한 폴리머 형성 레시피의 예는 C2H2, CH4 및 C2H4 와 같은 탄화수소 가스, 및 CH3F, CH2F2, CHF3, C4F6, 및 C4F8 과 같은 불화탄소 가스이다. 폴리머 형성 레시피의 다른 예는 CF4 및 H2 의 레시피와 같은 불화탄소 화학물질 및 수소 함유 가스일 수 있다. 증착 가스는 이후 정지된다.
프로파일 형상화 (단계 110) 는, 프로파일 형상화 가스를 제공하는 것과, 프로파일 형상화 가스로부터 프로파일 형상화 플라즈마를 발생시켜 증착층 (420) 의 프로파일을 형상화하는 것을 포함한다. 프로파일 형상화 가스는 증착 가스와 상이하다. 도시된 바와 같이, 증착 페이즈 (단계 109) 및 프로파일 형상화 페이즈 (단계 110) 는 상이한 시간에 일어난다. 이 실시예에서, 프로파일 형상화 가스는 CF4, CHF3, 및 CH2F2 와 같은 불화탄소 화학물질을 포함한다. COS, O2, N2, 및 H2 와 같은 다른 가스를 사용할 수도 있다. 이 실시예에서, 전력이 2 MHz 에서 0 와트 및 27 MHz 에서 800 와트로 공급된다. 프로파일 형상화 가스는 이후 정지된다.
이 실시예에서, 증착 페이즈 (단계 109) 가 2회 반복된다. 상술한 바와 같이 동일한 증착 레시피가 여기서 사용된다. 대안의 실시형태에서, 증착 레시피가 또한 제 1 증착 페이즈의 레시피로부터 수정될 수도 있다.
프로파일 형상화 페이즈 (단계 110) 가 2회 반복된다. 상술한 바와 같이 동일한 프로파일 형상화 레시피가 여기서 사용된다. 프로파일 형상화 레시피도 또한 제 1 증착 페이즈의 레시피로부터 수정될 수 있다.
보호층 형성 공정 (단계 108) 은 원하는 보호층이 형성될 때까지 수회 반복할 수 있다. 바람직하게, 이 실시예에서, 사이클의 횟수는 1 ~ 10 회일 수 있다. 보다 바람직하게, 사이클의 횟수는 2 ~ 3 회이다. 바람직하게, 보호층의 측벽은 0.5 nm ~ 30 nm 두께이다. 보다 바람직하게, 보호층의 측벽은 0.5 ~ 10 nm 이다.
보호층의 형성 (단계 108) 이 완료된 이후, 유전체층이 이후 보호층을 사용하여 식각된다 (단계 112). 식각은 식각 가스를 제공하는 것과 식각 가스로부터 식각 플라즈마를 형성하는 것을 포함한다. 이 실시예에서, 프로파일 형상화 페이즈 (단계 110) 에 사용되는 프로파일 형상화 레시피 또는 증착 페이즈 (단계 109) 에서의 레시피 이외의 상이한 식각 레시피가 유전체층 식각 (단계 112) 에 사용된다. 이것은, 유전체층 (208) 이 보호층 형성 (단계 108) 동안 식각되지 않는 것이 바람직하기 때문이다. 유전체층을 식각하기 위한 식각 화학물질의 예는 O2 또는 N2 와 C4F6 일 수 있다.
보호층이 이후 제거된다 (단계 116). 이 실시예에서, 표준 포토레지스트 박리가 사용되어 보호층 마스크를 제거한다. 추가 형성 단계가 또한 수행될 수도 있다 (단계 120).
바람직하게, 각 증착 페이즈 동안의 각 증착층은 0.5 nm ~ 30 nm 두께이다. 보다 바람직하게, 각 증착 페이즈 동안의 각 증착층은 0.5 nm ~ 5 nm 두께이다. 가장 바람직하게, 각 증착 페이즈 동안의 각 증착층은 1 ~ 5 nm 두께이다.
본 발명의 상이한 실시형태에서, 식각층은 금속 함유층 또는 로우-k 유전체층과 같은 유전체층일 수 있다. 식각층은 또한 피쳐의 후 식각을 위한 하드마스크로서 기능하는 비정질 탄소 또는 SiN 층과 같은 하드마스크층일 수 있다.
감소된 피치 길이 프로세스
본 발명의 다른 실시예에서, 피쳐 피치가 증가될 수도 있다. 도 3은 본 발명의 실시형태에서 사용될 수 있는 프로세스의 하이 레벨 플로우 차트이다. 고 식각율 포토레지스트 패터닝된 식각 마스크는 식각층 상부에 형성된다 (단계 304). 고 식각율 포토레지스트는 낮은 내식각성을 가진다. 보다 바람직하게, 고 식각율 포토레지스트는 내식각성 첨가제가 없다. 도 4a는 본 발명의 실시형태에서의 패터닝된 마스크의 단면도이다. 웨이퍼와 같은 기판 (404) 상부에, 배리어층 (406) 을 배치할 수 있다. 배리어층 (406) 상부에, 식각층 (408), 예컨대, 도전성 금속층 또는 폴리실리콘층 또는 유전체층을 형성한다. 식각층 (408) 상부에, DARC 층과 같은 반사방지층 (ARL)(410) 을 형성한다. 고 식각율 포토레지스트 (412) 의 패터닝된 제 1 마스크를 ARL (410) 상부에 형성한다. 이 실시예에서 라인 마스크의 식각 마스크 피쳐 (414) 는 도시된 바와 같이 선 폭 "Lp"로 정의된 폭을 가진다. 고 식각율 포토레지스트 마스크에서의 스페이스 (422) 는 도시된 바와 같이 "Sp"를 가진다. 고 식각율 포토레지스트 마스크의 피치 길이 "Pp" 는 도시된 바와 같이 선 폭 및 스페이스 폭의 합 Pp=Lp+Sp 으로 정의된다. 이들 폭은 고 식각율 포토레지스트 마스크를 형성하기 위해 사용된 리소그래피 기술의 레졸루션에 의해 결정된다. 피치 길이를 감소시키는 것이 바람직하다.
보호층의 순환식 형성은 고 식각율 포토레지스트 상에 보호층을 형성하기 위해 수행된다 (단계 308). 순환식 보호층 형성 프로세스는 식각 마스크 피쳐 (414) 의 측벽 상부에 층을 증착하는 단계 (단계 309), 이후 증착층의 프로파일을 형상화하는 단계 (단계 310) 의 적어도 2단계를 포함한다. 도 4b는 고 식각율 포토레지스트 마스크 마스크의 측벽 상부에 증착된 보호층 (420) 을 가진 패터닝된 고 식각율 포토레지스트 마스크 (412) 의 개략 단면도이다. 보호층 (420) 은 마스크 스페이스 이내에 측벽 층 피쳐 (424) 를 형성하고, 여기서 측벽 층 피쳐 (424) 는 고 식각율 포토레지스트 마스크의 스페이스 CD 미만인, 감소된 스페이스 CD를 가진다. 바람직하게, 증착된 고 식각율 포토레지스트 마스크의 감소된 스페이스 CD 는 고 식각율 포토레지스트 마스크 피쳐의 스페이스 CD 의 50% 미만이다. 또한, 측벽 층은 실질적으로 수직 측벽 (428) 을 가지는 것이 바람직하고, 이것은 도시된 바와 같이 매우 컨포멀 (conformal) 하다. 실질적으로 수직 측벽의 예는, 상부에서 하부까지 피쳐의 저부와 88°~ 90° 의 각도를 이루는 측벽이다. 컨포멀 측벽은 피쳐의 상부에서 하부까지 실질적으로 동일한 두께를 가지는 증착층을 가진다. 비컨포멀 측벽은 파세팅 (faceting) 또는 브레드-로우핑 (bread-loafing) 포메이션을 형성할 수 있고, 이것은 비실질적으로 수직 측벽을 제공한다. (파세팅 포메이션으로부터) 테이퍼링된 측벽 또는 브레드-로우핑 측벽은, 증착층 CD 를 증가시킬 수 있고 불량한 식각 마스크를 제공할 수 있다. 측 벽 상의 증착이 제 1 마스크 피쳐의 저부 상의 증착보다 더 두꺼운 것이 바람직하다. 어떤 층도 제 1 마스크 피쳐의 저부 상부에 증착되지 않는 것이 보다 바람직하다. 이 실시예에서, 보호층은 고 식각율 포토레지스트 마스크의 상부 수평 표면 상에 증착되지 않는다.
고 식각율 포토레지스트 마스크가 제거된다 (단계 311). 고 식각율 포토레지스트 마스크는 바람직하게 내식각성 첨가제가 없기 때문에, 보호층을 특별히 제거하지 않고 고 식각율 포토레지스트를 제거할 수 있다. 도 4c는 고 식각율 포토레지스트 마스크가 제거된 이후의 스택의 단면도이다.
피쳐는 이후 식각층 (408) 에 식각된다 (단계 312). 도 4d는 식각되는 층 (408) 에 식각된 피쳐 (432) 를 도시한다. 보호층은 이후 제거될 수 있다 (단계 316). 이 실시예에서, 보호층 및 ARL 은 단일 박리 단계에서 제거될 수 있다. 도 4e는 증착층 및 식각 마스크가 제거된 이후의 스택을 도시한다. 식각층의 선 폭은 Lf 로 도시된다. 식각층에서의 피쳐의 스페이스 폭은 Sf 로 도시된다. 피쳐의 피치 길이는 Pf 로 도시되며, 여기서 Pf=Lf+Sf 이다. 비교에 대해, 도 4a의 포토레지스트 마스크 피치 Pp, 포토레지스트 선 폭 Lp, 및 포토레지스트 스페이싱 Sp 가, 피쳐 피치 Pf, 피쳐 선 폭 Lf, 및 피쳐 스페이스 폭 Sf 와의 비교를 위해 도 4e에 도시된다. 이 실시형태에서, 피쳐에 대한 피치의 길이 (Pf) 는 포토레지스트 마스크의 피치의 길이 (Pp) 의 절반이며, 그 이유는 피쳐 사이의 선 폭 (Lf) 이 포토레지스트 마스크의 선 폭 (Lp) 의 절반이며 피쳐 스페이스 폭 (Sf) 이 포토레지스트 마스크의 스페이스 (Sp) 의 절반이다. 따라서, 본 발명의 프로세스는, 동일한 포토레지스트 리소그래피 프로세스를 이용하면서 피치 길이, 선 폭, 및 피쳐 폭을 절반으로 감소시킴으로써 식각 피쳐 레졸루션을 배가할 수 있다. 추가 형성 단계들이 수행될 수 있다 (단계 320). 예를 들어, 추가 단계가 사용되어 메모리 디바이스를 형성할 수 있다.
바람직하게, 측벽은 선 폭 (Lp) 의 30% ~ 70% 인 폭을 가진다. 보다 바람직하게, 측벽은 선 폭 (Lp) 의 40% ~ 60% 인 폭을 가진다.
금속 또는 실리콘 층이 식각되는 다른 실시형태에서, 보호층은 실리콘 질화물 재료와 같은 보다 내식각성인 층일 수 있다.
본 발명의 다른 실시형태에서, 웨이퍼의 온도는 포토레지스트 재료의 유리 전이 온도 아래로 유지되어, 포토레지스트 마스크 피쳐의 왜곡을 피한다. 바람직하게, 웨이퍼 온도는 100 ℃ ~ -100 ℃ 의 범위로 유지된다. 보다 바람직하게, 온도는 80 ℃ ~ -80 ℃ 의 범위로 유지된다. 가장 바람직하게, 온도는 40 ℃ ~ -40 ℃ 의 범위로 유지된다.
본 발명의 프로세스의 하나의 이점은, 비수직 증착 프로파일이 후속 프로파일 형상화 단계에 의해 보다 수직으로 형성될 수 있다는 것이다. 본 발명의 프로세스의 다른 이점은, 증착층이 부가되고 재식각되어, 각 사이클동안 얇은 증착층이 형성된다는 것이다. 그러한 얇은 층은, 단일의 두꺼운 층을 형성함으로써 야기될 수 있는, 박리를 방지하는 것을 도울 수 있다. 단일의 두꺼운 필름은 또한 다른 문제를 야기할 수 있다. 또한 순환식 프로세스는 보다 많은 제어 파라미터를 제공하며, 보다 많은 튜닝 파라미터가 보다 우수한 컨포멀 증착층을 제공하게 한다. 순환식 프로세스는 CD 감소 프로세스에 걸쳐서 최소로 브레드-로우프를 유지하기 때문에, 증착 프로파일의 저부에서의 CD 이득은 점점 커질 수 있다.
본 발명의 일 실시형태에서, 보호층은 탄소 및 수소 재료이다.
이 실시형태는 리소그래피 시스템의 레졸루션에 의한 피치 길이 제한과 관련하여 식각된 피쳐의 피치 길이의 감소를 허용한다.
내식각성 첨가제는 일 노광 주파수에는 투명하지만 다른 노광 주파수에는 투명하지 않을 수 있기 때문에, 내식각성 첨가제는 일 주파수를 사용하는 하나의 리소그래피 프로세스에 유용할 수 있지만, 다른 주파수를 사용하는 다른 리소그래피 프로세스에는 유용하지 않을 수 있다. 본 발명은 내식각성 첨가제가 없는 포토레지스트를 사용하기 때문에, 본 발명의 이점은 단일의 폴리머가 다양한 리소그래피 노광 주파수에 대해서 사용될 수 있다는 것이다.
본 발명이 수개의 바람직한 실시형태에 의해 설명되지만, 본 발명의 범위 내에 있는 변형, 변경 및 다양한 치환 등가물이 있다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 선택적인 방법이 있음에 유의해야 한다. 따라서, 하기의 첨부된 청구범위가, 본 발명의 진정한 사상 및 범위 내에 있는 모든 변형, 변경 및 다양한 치환 등가물을 포함하는 것으로 해석되는 것으로 의도된다.

Claims (36)

  1. 식각층에 피쳐를 식각하는 방법으로서,
    상기 식각층 상부에 패터닝된 마스크를 형성하는 단계로서, 상기 패터닝된 마스크는 고 식각율 포토레지스트 재료이고, 상기 패터닝된 마스크는 패터닝된 마스크 피쳐를 가지는, 상기 패터닝된 마스크를 형성하는 단계;
    순환식 (cyclical) 증착을 수행함으로써 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크 상에 보호층을 증착하는 단계로서, 각 사이클은, 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크의 측벽을 포함하여, 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈; 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함하는, 상기 보호층을 증착하는 단계;
    상기 보호층을 마스크로 사용하여 상기 식각층에 피쳐를 식각하는 단계; 및
    상기 보호층을 제거하는 단계를 포함하는, 피쳐 식각 방법.
  2. 제 1 항에 있어서,
    상기 고 식각율 포토레지스트는 내식각성 첨가제가 없는, 피쳐 식각 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 보호층의 순환식 증착은 2 ~ 3 사이클 동안 수행되는, 피쳐 식각 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 보호층 및 상기 패터닝된 마스크는 상기 피쳐를 상기 식각층에 식각하기 위한 마스크로서 사용되는, 피쳐 식각 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 보호층을 제거하는 단계는, 또한 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크도 박리하는, 피쳐 식각 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 보호층은 두께가 0.5 nm ~ 1O nm 두께인 측벽을 가지는, 피쳐 식각 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 고 식각율 포토레지스트 재료는 화학적 증폭형 첨가제가 없는, 피쳐 식각 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 보호층을 증착하는 단계는, 상기 패터닝된 마스크 피쳐의 저부에 걸쳐서 보호층을 형성하지 않는, 피쳐 식각 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 식각층에 피쳐를 식각하는 단계 이전에, 상기 보호층에 의해 형성된 측벽을 제거하지 않고 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크를 제거하는 단계를 더 포함하며,
    상기 식각층에 피쳐를 식각하는 단계는, 상기 보호층의 측벽을 마스크로 사용하는, 피쳐 식각 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 패터닝된 마스크는 패터닝된 마스크 피치 길이를 가지고,
    상기 식각 피쳐는 상기 패터닝된 마스크 피치 길이 미만의 피치 길이를 가지는, 피쳐 식각 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 보호층을 증착하는 단계는, 수평 표면 상에 보호층을 형성하지 않는, 피쳐 식각 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 증착 페이즈는,
    증착 가스를 플로우시키는 단계;
    상기 증착 가스를 플라즈마로 형성하는 단계; 및
    상기 증착 가스의 플로우를 정지시키는 단계를 포함하는, 피쳐 식각 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 프로파일 형상화 페이즈는,
    프로파일 형상화 가스를 플로우시키는 단계;
    상기 프로파일 형상화 가스를 플라즈마로 형성하는 단계; 및
    상기 프로파일 형상화 가스의 플로우를 정지시키는 단계를 포함하는, 피쳐 식각 방법.
  14. 식각층에 피쳐를 형성하는 장치로서,
    상기 식각층이 기판에 의해 지지되고, 상기 식각층이 마스크 피쳐를 가진 패터닝된 고 식각율 포토레지스트 마스크에 의해 커버되며, 상기 고 식각율 포토레지스트가 내식각성 첨가제가 없고,
    상기 피쳐를 형성하는 장치는,
    플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버 벽과, 상기 플라즈마 프로세싱 챔버 인클로져 내에서 기판을 지지하는 기판 지지체와, 상기 플라즈마 프로세싱 챔버 인클로져에서 압력을 조절하는 압력 조절기와, 상기 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하여 플라즈마를 유지하는 적어도 하나의 전극과, 상기 플라즈마 프로세싱 챔버 인클로져로 가스를 제공하는 가스 유입구와, 상기 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배출하는 가스 배출구를 포함하는 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 연통되고, 증착 가스 소스, 프로파일 형상화 가스 소스 및 식각 가스 소스를 포함하는 가스 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전극에 제어가능하게 연결되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함하는 제어부를 포함하며,
    상기 컴퓨터 판독가능 매체는,
    두께 0.5 nm ~ 30 nm 의 측벽을 가진 보호층을 형성하는 보호층 증착을 2 ~ 3 사이클 동안 제공하기 위한 컴퓨터 판독가능 코드로서, 각 사이클이, 상기 증착 가스 소스로부터 상기 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 상기 증착 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 상기 플라즈마 프로세싱 챔버 인클로져로의 상기 증착 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드와, 상기 첫번째 증착 가스의 플로우가 정지된 이후 상기 프로파일 형상화 가스로부터 상기 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 상기 프로파일 형상화 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 상기 플라즈마 프로세싱 챔버 인클로져로의 상기 프로파일 형상화 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 보호층 증착을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 식각 가스 소스로부터 상기 플라즈마 프로세싱 챔버로의 에천트 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드;
    상기 에천트 가스를 사용하여, 상기 식각층에 피쳐를 식각하기 위한 컴퓨터 판독가능 코드와,
    상기 보호층 및 상기 고 식각율 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 형성 장치.
  15. 식각층에 피쳐를 식각하는 방법으로서,
    상기 식각층 상부에 패터닝된 마스크를 형성하는 단계로서, 상기 패터닝된 마스크는 고 식각율 포토레지스트 재료이고 상기 패터닝된 마스크는 패터닝된 마스크 피쳐를 가지는, 상기 패터닝된 마스크를 형성하는 단계;
    순환식 (cyclical) 증착을 수행함으로써 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크 상에 보호층을 증착하는 단계로서, 각 사이클은, 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크의 측벽을 포함하여, 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈; 및 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함하는, 상기 보호층을 증착하는 단계;
    상기 고 식각율 포토레지스트 재료를 제거하고, 상기 보호층의 측벽을 남겨두는 단계;
    상기 보호층의 측벽을 마스크로 사용하여 상기 식각층에 피쳐를 식각하는 단계; 및
    상기 보호층을 제거하는 단계를 포함하는, 피쳐 식각 방법.
  16. 제 15 항에 있어서,
    상기 고 식각율 포토레지스트는 내식각성 첨가제가 없는, 피쳐 식각 방법.
  17. 제 15 항 또는 제 16 항에 있어서,
    상기 보호층을 증착하는 단계는, 상기 고 식각율 포토레지스트 마스크의 상부에 보호층을 형성하지 않는, 피쳐 식각 방법.
  18. 식각층에 피쳐를 형성하는 장치로서,
    상기 식각층은 기판에 의해 지지되고, 상기 식각층은 마스크 피쳐를 가진 패터닝된 고 식각율 포토레지스트 마스크에 의해 커버되며, 상기 고 식각율 포토레지스트는 내식각성 첨가제가 없으며,
    상기 피쳐를 형성하는 장치는,
    플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버 벽과, 상기 플라즈마 프로세싱 챔버 인클로져 내에서 기판을 지지하는 기판 지지체와, 상기 플라즈마 프로세싱 챔버 인클로져에서 압력을 조절하는 압력 조절기와, 상기 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하여 플라즈마를 유지하는 적어도 하나의 전극과, 상기 플라즈마 프로세싱 챔버 인클로져로 가스를 제공하는 가스 유입구와, 상기 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배출하는 가스 배출구를 포함하는 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 연통되고, 증착 가스 소스, 프로파일 형상화 가스 소스 및 식각 가스 소스를 포함하는 가스 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전극에 제어가능하게 연결되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함하는 제어부를 포함하며,
    상기 컴퓨터 판독가능 매체는,
    측벽을 가진 보호층을 형성하기 위한 복수의 사이클을 제공하기 위한 컴퓨터 판독가능 코드로서, 상기 보호층은 상기 고 식각율 포토레지스트의 상부 표면에 형성되지 않고, 각 사이클은, 상기 증착 가스 소스로부터 상기 플라즈마 프로세싱 챔버 인클로져로의 증착 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 상기 증착 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 상기 플라즈마 프로세싱 챔버 인클로져로의 상기 증착 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드와, 첫번째 상기 증착 가스의 플로우가 정지된 이후 상기 프로파일 형상화 가스 소스로부터 상기 플라즈마 프로세싱 챔버 인클로져로의 프로파일 형상화 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드와, 상기 프로파일 형상화 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드와, 상기 플라즈마 프로세싱 챔버 인클로져로의 상기 프로파일 형상화 가스의 플로우를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 보호층을 형성하기 위한 컴퓨터 판독가능 코드;
    상기 보호층의 측벽을 제거하지 않고 상기 고 식각율 포토레지스트를 제거하기 위한 컴퓨터 판독가능 코드;
    상기 식각 가스 소스로부터 상기 플라즈마 프로세싱 챔버로의 에천트 가스의 플로우를 제공하기 위한 컴퓨터 판독가능 코드;
    상기 에천트 가스를 사용하고 상기 보호층 측벽을 마스크로서 사용하여, 상기 식각층에 피쳐를 식각하기 위한 컴퓨터 판독가능 코드와,
    상기 보호층 및 상기 고 식각율 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 형성 장치.
  19. 식각층에 피쳐를 식각하는 방법으로서,
    상기 식각층 상부에 패터닝된 마스크를 형성하는 단계로서, 상기 패터닝된 마스크는 고 식각율 포토레지스트 재료이고, 상기 패터닝된 마스크는 패터닝된 마스크 피쳐를 가지는, 상기 패터닝된 마스크를 형성하는 단계;
    순환식 (cyclical) 증착을 수행함으로써 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크 상에 보호층을 증착하는 단계로서, 각 사이클은, 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크의 측벽을 포함하여, 노출된 표면 상부에 증착층을 증착하기 위한 증착 페이즈; 및 상기 보호층이 상기 고 식각율 포토레지스트 마스크의 상부 및 측벽 상에 증착되는, 수직 측벽을 제공하기 위한 프로파일 형상화 페이즈를 포함하는, 상기 보호층을 증착하는 단계;
    상기 보호층을 마스크로 사용하여 상기 식각층에 피쳐를 식각하는 단계; 및
    상기 보호층을 제거하는 단계를 포함하는, 피쳐 식각 방법.
  20. 제 19 항에 있어서,
    상기 보호층을 증착하는 단계는, 상기 마스크 피쳐의 저부의 수평 표면 상에 보호층을 형성하지 않는, 피쳐 식각 방법.
  21. 제 20 항에 있어서,
    상기 보호층의 순환식 증착은 2 - 3 사이클 동안 수행되는, 피쳐 식각 방법.
  22. 제 21 항에 있어서,
    상기 보호층 및 상기 패터닝된 마스크는, 상기 식각층에 상기 피쳐를 식각하는 동안 마스크로서 사용되는, 피쳐 식각 방법.
  23. 제 22 항에 있어서,
    상기 보호층을 제거하는 단계는, 또한 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크도 박리하는, 피쳐 식각 방법.
  24. 제 23 항에 있어서,
    상기 보호층은 두께가 0.5 nm ~ 1O nm 두께인 측벽을 가지는, 피쳐 식각 방법.
  25. 제 24 항에 있어서,
    상기 고 식각율 포토레지스트 재료는 화학적 증폭형 첨가제가 없는, 피쳐 식각 방법.
  26. 제 1 항에 있어서,
    상기 보호층을 증착하는 단계는, 상기 패터닝된 마스크 피쳐의 저부에 걸쳐서 보호층을 형성하지 않는, 피쳐 식각 방법.
  27. 제 1 항에 있어서,
    상기 식각층에 피쳐를 식각하는 단계 이전에, 상기 보호층에 의해 형성된 측벽을 제거하지 않고 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크를 제거하며,
    상기 식각층에 피쳐를 식각하는 단계는, 상기 보호층의 측벽을 마스크로서 사용하는, 피쳐 식각 방법.
  28. 제 1 항에 있어서,
    상기 패터닝된 마스크는 패터닝된 마스크 피치 길이를 가지며,
    상기 식각 피쳐는 상기 패터닝된 마스크 피치 길이 미만의 피치 길이를 가지는, 피쳐 식각 방법.
  29. 제 1 항에 있어서,
    상기 보호층을 증착하는 단계는, 수평 표면 상에 보호층을 형성하지 않는, 피쳐 식각 방법.
  30. 제 1 항에 있어서,
    상기 증착 페이즈는,
    증착 가스를 플로우시키는 단계;
    상기 증착 가스를 플라즈마로 형성하는 단계; 및
    상기 증착 가스의 플로우를 정지시키는 단계를 포함하는, 피쳐 식각 방법.
  31. 제 30 항에 있어서,
    상기 프로파일 형상화 페이즈는,
    프로파일 형상화 가스를 플로우시키는 단계;
    상기 프로파일 형상화 가스를 플라즈마로 형성하는 단계; 및
    상기 프로파일 형상화 가스의 플로우를 정지시키는 단계를 포함하는, 피쳐 식각 방법.
  32. 제 1 항에 있어서,
    상기 보호층의 순환식 증착은 2 ~ 3 사이클 동안 수행되는, 피쳐 식각 방법.
  33. 제 1 항에 있어서,
    상기 보호층 및 상기 패터닝된 마스크는 상기 식각층에 상기 피쳐를 식각하는 동안 마스크로서 사용되는, 피쳐 식각 방법.
  34. 제 1 항에 있어서,
    상기 보호층을 제거하는 단계는, 또한 고 식각율 포토레지스트 재료의 상기 패터닝된 마스크도 박리하는, 피쳐 식각 방법.
  35. 제 1 항에 있어서,
    상기 보호층은 두께가 0.5 nm ~ 1O nm 두께인 측벽을 가지는, 피쳐 식각 방법.
  36. 제 1 항에 있어서,
    상기 고 식각율 포토레지스트 재료는 화학적 증폭형 첨가제가 없는, 피쳐 식각 방법.
KR1020107016104A 2007-12-21 2008-12-05 고 식각율 레지스트 마스크를 이용한 식각 KR20100106501A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US1636607P 2007-12-21 2007-12-21
US61/016,366 2007-12-21

Publications (1)

Publication Number Publication Date
KR20100106501A true KR20100106501A (ko) 2010-10-01

Family

ID=40789177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107016104A KR20100106501A (ko) 2007-12-21 2008-12-05 고 식각율 레지스트 마스크를 이용한 식각

Country Status (5)

Country Link
US (1) US20120282780A9 (ko)
KR (1) KR20100106501A (ko)
CN (1) CN102007570B (ko)
TW (1) TWI476834B (ko)
WO (1) WO2009085564A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021230980A1 (en) * 2020-05-12 2021-11-18 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009085598A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Photoresist double patterning
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US8329585B2 (en) * 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US20120094494A1 (en) * 2010-10-14 2012-04-19 Macronix International Co., Ltd. Methods for etching multi-layer hardmasks
US8304262B2 (en) 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP2017521715A (ja) 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10495970B2 (en) * 2017-11-15 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
JP7151976B2 (ja) 2018-03-28 2022-10-12 インテル・コーポレーション 半導体構造の製造のための炭素系誘電体材料および結果として得られる構造
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
TWI812762B (zh) * 2018-07-30 2023-08-21 日商東京威力科創股份有限公司 處理被處理體之方法、處理裝置及處理系統
KR20230051285A (ko) * 2020-08-18 2023-04-17 어플라이드 머티어리얼스, 인코포레이티드 프리-에칭 보호 층을 증착하는 방법
CN111952169B (zh) * 2020-08-21 2024-07-23 北京北方华创微电子装备有限公司 聚酰亚胺刻蚀方法
EP4310900A1 (en) * 2022-07-22 2024-01-24 Imec VZW A method for controlling the width of nano-sized fin-shaped features on a semiconductor substrate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4216922B2 (ja) * 1998-05-08 2009-01-28 東京エレクトロン株式会社 酸化膜のエッチング方法
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4806201A (en) * 1986-12-04 1989-02-21 Texas Instruments Incorporated Use of sidewall oxide to reduce filaments
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US6103445A (en) * 1997-03-07 2000-08-15 Board Of Regents, The University Of Texas System Photoresist compositions comprising norbornene derivative polymers with acid labile groups
US6291356B1 (en) * 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
KR100301053B1 (ko) * 1998-09-21 2001-09-22 윤종용 화학증폭형 포토레지스트용 감광성 중합체 및 이를 포함하는 화학 증폭형 포토레지스트 조성물
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6348384B1 (en) * 2001-07-06 2002-02-19 Macronix International Co., Ltd. Method of using organic polymer as covering layer for device lightly doped drain structure
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US20060276043A1 (en) * 2003-03-21 2006-12-07 Johnson Mark A L Method and systems for single- or multi-period edge definition lithography
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
JP4580284B2 (ja) * 2005-06-20 2010-11-10 Okiセミコンダクタ株式会社 強誘電体素子の製造方法
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
KR100628249B1 (ko) * 2005-09-13 2006-09-27 동부일렉트로닉스 주식회사 반도체 소자의 형성 방법
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021230980A1 (en) * 2020-05-12 2021-11-18 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications

Also Published As

Publication number Publication date
TWI476834B (zh) 2015-03-11
WO2009085564A3 (en) 2009-10-01
TW200929361A (en) 2009-07-01
WO2009085564A2 (en) 2009-07-09
US20120282780A9 (en) 2012-11-08
WO2009085564A4 (en) 2009-11-26
CN102007570A (zh) 2011-04-06
US20090163035A1 (en) 2009-06-25
CN102007570B (zh) 2013-04-03

Similar Documents

Publication Publication Date Title
KR20100106501A (ko) 고 식각율 레지스트 마스크를 이용한 식각
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101711669B1 (ko) 측벽 형성 공정
US8282847B2 (en) Photoresist double patterning
KR101274308B1 (ko) 임계 치수 감소 및 거칠기 제어
KR101209535B1 (ko) 에칭 프로파일 제어
KR101534883B1 (ko) 마스크 트리밍
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
KR101570551B1 (ko) 에칭층 내에 피쳐들을 에칭하기 위한 방법
KR20090009312A (ko) 피치 감소
KR20060126909A (ko) 피쳐 임계 치수의 감소
KR20090091292A (ko) 탈불소화 프로세스
KR101252878B1 (ko) 포토레지스트 마스크를 이용한 에칭
KR20100110358A (ko) 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
TWI405265B (zh) 均勻控制的蝕刻
WO2009085597A2 (en) Cd bias loading control with arc layer open
KR101155842B1 (ko) 개선된 이중층 포토레지스트 패턴을 제공하는 방법
KR20110018318A (ko) 헬륨 디스커밍
KR20110028276A (ko) 액침 포토레지스트에 대한 선택적 유기 arc 에칭

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application