KR101155842B1 - 개선된 이중층 포토레지스트 패턴을 제공하는 방법 - Google Patents

개선된 이중층 포토레지스트 패턴을 제공하는 방법 Download PDF

Info

Publication number
KR101155842B1
KR101155842B1 KR1020117011312A KR20117011312A KR101155842B1 KR 101155842 B1 KR101155842 B1 KR 101155842B1 KR 1020117011312 A KR1020117011312 A KR 1020117011312A KR 20117011312 A KR20117011312 A KR 20117011312A KR 101155842 B1 KR101155842 B1 KR 101155842B1
Authority
KR
South Korea
Prior art keywords
layer
etching
computer instructions
pattern
image layer
Prior art date
Application number
KR1020117011312A
Other languages
English (en)
Other versions
KR20110073590A (ko
Inventor
한중 샤오
헬렌 에이치 주
쿼-룽 탕
에스엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110073590A publication Critical patent/KR20110073590A/ko
Application granted granted Critical
Publication of KR101155842B1 publication Critical patent/KR101155842B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

층에 피처를 에칭하는 방법이 제공된다. 이 층 상에 폴리머 재료의 하부층이 형성된다. 하부층 상에 상부 이미지 층이 형성된다. 상부 이미지 층은 패터닝된 방사선에 노출된다. 상부 이미지 층에 패턴이 현상된다. 패턴은 환원 건식 에칭을 이용하여 상부 이미지 층으로부터 하부층으로 전사된다. 층은 하부층을 통해 에칭되는데, 여기서 상부 이미지 층은 완전히 제거되고, 하부층은 층을 에칭하는 동안에 패턴 마스크로서 사용되어, 하부층으로부터 층으로 패턴을 전사한다.

Description

개선된 이중층 포토레지스트 패턴을 제공하는 방법{METHOD PROVIDING AN IMPROVED BI-LAYER PHOTORESIST PATTERN}
본 발명은 개선된 이중층 포토레지스트 패터닝을 제공하는 방법에 관한 것이다.
업계에 보다 높은 회로 밀도를 달성하려는 요구가 존재한다. 보다 높은 밀도를 달성하는 하나의 방법은 레지스트 분야에서 회로 패턴의 개선된 해상도 (resolution) 를 제공하는 것이다. 이를 수행하기 위한 하나의 기술은 이중층 포토레지스트 방법을 이용함으로써 이루어진다. 이중층 포토레지스트 방법은, 1999 년 11 월 16 일 등록되었으며 발명의 명칭이 "Process For Using Bilayer Photoresist" 인 Allen 등에 의한 미국특허 제 5,985,524 호; 1995 년 3 월 21 일 등록되었으며 발명의 명칭이 "Method of Forming Sub-Half Micron Patterns With Optical Lithography Using Bilayer Resist Compositions Comprising A Photosensitive Polysilsesquioxane" 인 Sachev 등에 의한 미국특허 제 5,399,462 호; 2001 년 10 월 18 일 공개되었으며 발명의 명칭이 "Partially Crosslinked Polymer For Bilayer Photoresist" 인 Lee 등에 의한 미국특허공개공보 제 2001/0031420A1 호; 2001 년 6 월 21 일 공개되었으며 발명의 명칭이 "Refractory Bilayer Resist Materials For Lithography Using Highly Attenuated Radiation" 인 Wheeler 에 의한 미국특허공개공보 제 2001/0004510A1 호에 기재되어 있고, 이들 모두는 산소 반응성 이온 에칭 (Reactive Ion Etch: RIE) 을 이용하여 하부층을 에칭하는 이중층 포토레지스트 방법을 설명하려는 목적으로 참조로서 포함되어 있다.
이해를 용이하게 하기 위해서, 도 1 은 이중층 포토레지스트 프로세스의 흐름도이다. 먼저, 기판 상에 하부층이 형성될 수도 있다 (단계 104). 도 2a 는 웨이퍼 (204) 및 에칭될 층 (208) 으로 형성된 기판을 나타낸다. 에칭될 층 (208) 상에 하부층 (212) 이 형성될 수도 있다. 에칭될 층 (208) 은 웨이퍼 (204) 의 일부일 수도 있고, 또는 웨이퍼 (204) 와 에칭될 층 (208) 사이에 하나 이상의 층이 존재할 수도 있다. 기판은 에칭될 층 (208) 또는 웨이퍼 (204) 또는 이들 모두일 수도 있다.
하부층 상에 레지스트 상부 이미지 층이 형성될 수도 있다 (단계 108). 도 2b 는 하부층 (212) 상에 형성된 레지스트 상부 이미지 층 (216) 을 나타낸다. 상부 이미지 층 (216) 은 패터닝된 방사선 (patterned radiation) 에 노출될 수도 있다 (단계 112). 그런 다음, 상부 이미지 층에 패턴이 현상될 수도 있다 (단계 116). 도 2c 는 패터닝된 방사선의 결과로서 상부 이미지 층 (216) 에 현상된 홀 (218) 을 나타낸다. 산소 반응성 이온 에칭을 이용하여 상부 이미지 층 (216) 으로부터 하부층 (212) 으로 이미지가 전사될 수도 있다 (단계 120). 도 2d 는 산소 반응성 이온 에칭의 결과로서 하부층 (212) 으로 에칭된 트렌치 (220) 를 나타낸다. 산소 RIE 는 에칭에 산화를 이용하고, 그에 따라 산화 건식 에칭을 제공한다.
도시된 바와 같이, 상부 이미지 층 (216) 의 일부는 이미지의 전사 동안에 에칭된다. 산소 반응성 이온 에칭 동안에 상부 이미지 층 (216) 에 대한 하부층 (212) 의 에칭 선택도를 증가시키기 위해서, 상부 이미지 층 (216) 에 실리콘이 첨가된다. 상부 이미지 층 (216) 에 실리콘을 첨가한 경우에도, 선택도는 원하는 만큼 높지 않을 수도 있는데, 이는 상부 이미지 층 (216) 이 원하는 것보다 두껍도록 또는 하부층 (212) 이 원하는 것보다 얇도록 요구할 수도 있다. 또한, 산소 반응성 이온 에칭은 상부 이미지 층 (216) 의 패시팅 (faceting ; 222) 을 야기시킬 수도 있는데, 이는 홀을 확대하여 임계 치수를 증가시킬 수도 있다. 또한, 산소 반응성 이온 에칭은 상부 이미지 층 (216) 의 언더커팅을 야기시킬 수도 있는데, 이 또한 임계 치수를 증가시킨다. 패시팅 및 부식의 결과, 에칭될 층 (208) 의 상면에서의 홀의 임계 치수는 점선 (226) 으로 표시된 오리지널 트렌치 크기보다 훨씬 클 수도 있다. 하부층이 완전히 에칭된다는 것을 보장하기 위해서, 하부층은 통상적으로 오버에칭된다. 산소 RIE 는 오버에칭 동안에 CD 증대의 대부분을 제공할 수도 있는데, 여기서 에칭의 시작 시에 최소 증대가 발생한다. 또한, 실리콘의 첨가 및 상부 이미지 층 (216) 의 부식은 에칭될 층 (208) 의 표면 상에 실리콘 에칭 잔여물 (230) 이 형성되도록 할 수도 있는데, 이는 후속 마이크로마스킹을 야기시킬 수도 있다. 또한, 실리콘은 하부층 (212) 의 에칭 동안에 하부층 (212) 의 표면 상에 형성될 수도 있는데, 이는 하부층의 마이크로마스킹을 야기시킬 수도 있다.
에칭에 의해 하부층 (212) 으로부터 기판으로 이미지가 전사된다 (단계 124). 도 2e 는 홀 (234) 이 에칭된 이후의 에칭될 층 (208) 을 나타낸다. 에칭 동안에, 상부 이미지 층이 완전히 에칭되어, 하부층은 에칭 동안에 패턴 마스크의 역할을 한다. 홀 (234) 의 임계 치수는 점선 (226) 으로 표시된 오리지널 트렌치 크기보다 크다. 또한, 마이크로마스킹은 홀의 저부에 범프 (238) 를 형성하였다.
양호한 임계 치수 제어를 제공하며 마이크로마스킹을 감소시키는 것이 바람직하다.
본 발명의 목적에 따라 전술한 바를 달성하기 위해서, 층에 피처 (feature) 를 에칭하는 방법이 제공된다. 이 층 상에 폴리머 재료의 하부층이 형성된다. 하부층 상에 상부 이미지 층이 형성된다. 상부 이미지 층은 패터닝된 방사선에 노출된다. 상부 이미지 층에 패턴이 현상된다. 패턴은 환원 건식 에칭을 이용하여 상부 이미지 층으로부터 하부층으로 전사된다. 층은 하부층을 통해 에칭되는데, 여기서 상부 이미지 층은 완전히 제거되고, 하부층은 층을 에칭하는 동안에 패턴 마스크로서 사용되어, 하부층으로부터 층으로 패턴을 전사한다.
본 발명의 또다른 실시형태에 있어서, 층에 피처를 에칭하는 방법이 제공된다. 이 층 상에 폴리머 재료의 하부층이 형성된다. 하부층 상에 상부 이미지 층이 형성된다. 상부 이미지 층은 패터닝된 방사선에 노출된다. 상부 이미지 층에 패턴이 현상된다. 상부 이미지 층은 경화된다. 패턴은 상부 이미지 층으로부터 하부층으로 전사된다. 층은 하부층을 통해 에칭되는데, 여기서 상부 이미지 층은 완전히 제거되고, 하부층은 층을 에칭하는 동안에 패턴 마스크로서 사용되어, 하부층으로부터 층으로 패턴을 전사한다.
본 발명의 또다른 실시형태에 있어서, 기판 상의 층에 피처를 에칭하는 장치가 제공된다. 기판이 배치될 수도 있는 처리 챔버가 제공된다. 처리 챔버에 상이한 가스 화학물질을 제공할 수 있는 가스 소스가 제공된다. 가스 화학물질로부터 플라즈마를 발생시키는 이온화 전원이 제공된다. 제어기는 가스 소스 및 이온화 전원에 제어가능하게 접속되는데, 이 제어기는, 환원 가스를 제공하는 컴퓨터 명령들, 환원 가스를 에너자이징 (energizing) 하여 하부층을 에칭하기 위한 플라즈마를 생성하는 컴퓨터 명령들, 하부층의 에칭을 종료시키는 컴퓨터 명령들, 층 에천트를 제공하는 컴퓨터 명령들, 및 층 에천트를 에너자이징하여 층을 에칭하기 위한 플라즈마를 생성하는 컴퓨터 명령들을 포함한 컴퓨터 판독가능 매체를 포함한다.
이하, 본 발명의 상세한 설명에서 다음의 도면과 관련하여 본 발명의 이들 특징 및 다른 특징이 보다 상세하게 설명된다.
본 발명은 첨부 도면에서 제한이 아니라 예시로서 도시되어 있고, 여기서 동일한 참조번호는 동일한 구성요소를 나타낸다.
도 1 은 종래 기술에서 이용된 이중층 포토레지스트 프로세스의 흐름도이다.
도 2a 내지 도 2e 는 도 1 에 도시된 바와 같은 이중층 포토레지스트 프로세스 동안의 기판의 단면도이다.
도 3 은 본 발명의 이중층 포토레지스트 프로세스의 흐름도이다.
도 4a 내지 도 4f 는 도 3 에 도시된 바와 같은 이중층 포토레지스트 프로세스 동안의 기판의 단면도이다.
도 5 는 본 발명의 바람직한 실시형태에서 사용될 수도 있는 처리 챔버의 개략도이다.
도 6 은 경화 단계의 보다 상세한 흐름도이다.
도 7 은 환원 반응성 이온 에칭의 보다 상세한 흐름도이다.
도 8a 및 도 8b 는 제어기를 구현하기에 적합한 컴퓨터 시스템을 도시한 도면이다.
도 9 는 본 발명의 일 실시예에 따라 에칭된 하부층의 단면도의 현미경 사진이다.
이하, 첨부 도면에 도시된 바와 같이 몇몇 바람직한 실시형태를 참조하여 본 발명이 상세하게 설명될 것이다. 다음의 설명에 있어서, 본 발명의 완전한 이해를 제공하기 위해서 다수의 특정 상세가 설명된다. 그러나, 본 발명이 이들 특정 상세의 일부 또는 전부 없이 실시될 수도 있다는 것은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 자명할 것이다. 다른 경우에, 본 발명을 불필요하게 모호하게 하지 않도록, 잘 알려진 프로세스 단계 및/또는 구조는 상세하게 설명되지 않았다.
도 3 은 본 발명의 이중층 포토레지스트 프로세스의 흐름도이다. 먼저, 기판 상에 하부층이 형성된다 (단계 304). 도 4a 는 웨이퍼 (404) 및 에칭될 층 (408) 으로 형성된 기판을 나타낸다. 에칭될 층 (408) 상에 하부층 (412) 이 형성된다. 에칭될 층 (408) 은 웨이퍼 (404) 의 일부일 수도 있고, 또는 웨이퍼 (404) 와 에칭될 층 (408) 사이에 하나 이상의 층이 존재할 수도 있다. 기판은 에칭될 층 (408) 또는 웨이퍼 (404) 또는 이들 모두일 수도 있다. 하부층 (412) 은 약 0.2 미크론과 2 미크론 사이의 두께를 갖는 것이 바람직하다. 보다 바람직하게는, 하부층 (412) 은 약 1 미크론의 두께를 갖는다.
하부층 상에 레지스트 상부 이미지 층이 형성된다 (단계 308). 도 4b 는 하부층 (412) 상에 형성된 레지스트 상부 이미지 층 (416) 을 나타낸다. 상부 이미지 층 (416) 은 I-선, 극자외선 (Deep Ultra-Violet: DUV), 또는 193 nm 이하형 레지스트 재료로부터 형성될 수도 있다. 바람직하게는, 레지스트 상부 이미지 층 (416) 은 약 0.1 미크론과 0.3 미크론 사이의 두께를 갖는다. 메발로닉 락톤 메타크릴레이트와 2-메틸-2-아다만틸-메타크릴레이트의 공중합체 (poly(MAdMA-co-MLMA)) 는, 폴리(메틸메타크릴레이트) PMMA 의 유도체에 기초한 포토레지스트 재료의 클래스의 일례이고, 이는 적절한 광산 발생제와 결합될 때에 화학적으로 증폭된 193 nm 포지티브 레지스트로서 사용될 수도 있다.
하부층 (412) 은 폴리머 재료로부터 형성된다. 바람직하게는, 폴리머 재료는 포토레지스트 재료 또는 BARC 재료이다. 하부층이 포토레지스트 재료인 경우, 하부층 (412) 은 상부 이미지 층 (416) 과 상이한 포토레지스트 재료로 형성되는 것이 바람직하다. 예를 들어, 상부 이미지 층 (416) 은 DUV 재료일 수도 있고, 하부층 (412) 은 I-선 재료일 수도 있다. 재료의 차이는, 하부층을 변경하지 않으면서, 이 실시예에서는 DUV 방사선으로 상부 이미지 층 (416) 이 이미징되는 것을 허용한다. 또한, 층 (408) 을 에칭하는 동안에 하부층 (412) 이 마스크로서 사용될 수도 있도록, 하부층은 층의 선택적 에칭을 허용하는 재료여야 한다.
바람직하게는, 상부 이미지 층 (416) 은 하부층 (412) 보다 고농도의 실리콘을 가져, 후속 에칭 선택도를 증가시킨다. 보다 바람직하게는, 상부 이미지 층 (416) 은 실리콘을 함유하고 있는 한편, 하부층 (412) 은 실질적으로 실리콘을 함유하지 않아 (silicon free), 상부 이미지 층 (416) 을 마스크로서 사용하면서 하부층 (412) 을 에칭할 선택도를 증가시킨다. 하부층 (412) 및 상부 이미지 층 (416) 모두는 스핀 온 (spin on) 코팅 또는 스프레이 코팅으로서 도포될 수도 있다.
상부 이미지 층 (416) 은 패터닝된 방사선에 노출된다 (단계 312). 그런 다음, 상부 이미지 층에 패턴이 현상된다 (단계 316). 도 4c 는 패터닝된 방사선의 결과로서 상부 이미지 층 (416) 에 현상된 홀 (418) 을 나타낸다.
그런 다음, 패터닝된 상부 이미지 층 (416) 이 경화된다 (단계 320). 도 6 은 경화 단계의 보다 상세한 흐름도이다. 처리 챔버에 기판이 배치된다 (단계 604).
도 5 는 본 발명의 바람직한 실시형태에서 사용될 수도 있는 처리 챔버 (500) 의 개략도이다. 이 실시형태에 있어서, 플라즈마 처리 챔버 (500) 는, 한정 링 (502), 상부 전극 (504), 하부 전극 (508), 가스 소스 (510), 배출 펌프 (520) 를 포함한다. 가스 소스 (510) 는 제 1 가스 소스 (512), 제 2 가스 소스 (514) 및 제 3 가스 소스 (516) 를 포함한다. 다른 가스 소스가 부가될 수도 있다. 플라즈마 처리 챔버 (500) 내에서, 상부에 하부층 및 상부 이미지 층이 퇴적되는 기판 웨이퍼 (580) 는 하부 전극 (508) 상에 위치된다. 하부 전극 (508) 은 기판 웨이퍼 (580) 를 유지하기에 적합한 기판 척킹 메커니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 포함한다. 반응기 상부 (528) 는, 하부 전극 (508) 에 바로 대향하여 배치된 상부 전극 (504) 을 포함한다. 상부 전극 (504), 하부 전극 (508) 및 한정 링 (502) 은 한정된 플라즈마 체적 (540) 을 정의한다. 가스는, 가스 소스 (510) 에 의해 가스 입구 (543) 를 통하여 한정된 플라즈마 체적으로 공급되고, 한정된 플라즈마 체적으로부터 배출 펌프 (520) 에 의해 배출 포트 및 한정 링 (502) 을 통하여 배출된다. 배출 펌프 (520) 는 플라즈마 처리 챔버에 대한 가스 출구를 형성한다. RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 접속되는 한편, 상부 전극 (504) 은 접지된다. 챔버 벽 (552) 은, 한정 링 (502), 상부 전극 (504) 및 하부 전극 (508) 이 배치되는 플라즈마 인클로저를 정의한다. RF 소스 (548) 는 27 MHz 전원 및 2 MHz 전원을 포함할 수도 있다. RF 전력을 전극에 접속시키는 상이한 조합이 가능하다.
처리 챔버 (500) 는, 캘리포니아주 프레몬트의 램 리써치 코포레이션TM 에 의해 제조된 2300 ExelanTM 유전체 에칭 시스템일 수도 있다. 제어기 (535) 는, RF 소스 (548), 배출 펌프 (520), 제 1 가스 소스 (512) 에 접속된 제 1 제어 밸브 (537), 제 2 가스 소스 (514) 에 접속된 제 2 제어 밸브 (539), 및 제 3 가스 소스 (516) 에 접속된 제 3 제어 밸브 (541) 에 제어가능하게 접속된다. 샤워헤드가 가스 입구 (543) 에 접속될 수도 있다. 가스 입구 (543) 는 각 가스 소스에 대해 단일 입구이거나, 각 가스 소스에 대해 상이한 입구이거나, 각 가스 소스에 대해 복수의 입구이거나, 다른 가능한 조합일 수도 있다.
산소를 함유하는 경화 가스가 처리 챔버 (500) 로 제공된다 (단계 608). 경화 가스 또는 이 경화 가스의 산소 성분을 제공하는데 제 1 가스 소스 (512) 가 사용될 수도 있다. 경화 가스로부터 플라즈마가 발생된다 (단계 612). 일반적으로, 이는, 거의 바이어스 없이 경화 가스를 에너자이징하여 플라즈마를 생성함으로써 수행된다. 일반적으로, 저주파 전원으로부터 낮은 전력을 제공하거나 전력을 제공하지 않음으로써, 바이어스가 낮게 유지된다. 이는, 저주파 전원에 500 와트 미만의 전력을 제공함으로써 수행될 수도 있다. 보다 바람직하게는, 이는, 저주파 전원에 전력을 제공하지 않음으로써 수행된다. 플라즈마를 발생 및 유지하기에 충분한 전력이 고주파 전원에 제공된다.
상부 이미지 층 (416) 은 산소 함유 플라즈마에 노출되어, 상부 이미지 층을 경화시킨다 (단계 616). 플라즈마가 거의 바이어스되지 않은 에너지를 갖는 산소 이온을 포함하기 때문에, 산소 이온은 상부 이미지 층 (416) 에서 실리콘과 결합하여, 보다 내에칭성의 실리콘 산화물을 형성한다. 하부층이 실리콘을 갖지 않는 것이 바람직하기 때문에, 산소 이온은 하부층 (412) 에서 실리콘 산화물을 형성하지 않는다. 산소 플라즈마의 일례는 20 과 200 sccm 사이의 O2 가스를 사용하여, 실리콘 산화물을 형성할 수도 있다. 또한, 이 가스는 0 내지 1,000 sccm 의 아르곤 및 0 내지 1,000 sccm 의 질소를 더 함유할 수도 있는데, 이는 희석제로서 사용될 수도 있다. 플라즈마는, 100 내지 1,000 와트의 고주파 RF 전력으로 10 내지 500 mTorr 의 압력 및 약 -20℃ 내지 60℃ 의 온도 범위에서 발생될 수도 있다. 바람직하게는, 500 와트 미만의 저주파 RF 전력이 제공되어, 스퍼터링을 야기시키기에 불충분한 바이어스를 제공한다. 보다 바람직하게는, 저주파 RF 전력은 약 0 와트이다.
20 sccm 보다 큰 산소 유량을 제공하며, 4% 보다 큰 전체 가스 흐름에 대한 산소 흐름의 비율을 제공함으로써, 경화 가스는 상부 이미지 층 (416) 을 충분히 경화시킬 수도 있다. 보다 바람직하게는, 전체 가스 흐름에 대한 산소의 비율은 8% 보다 크다.
경화 프로세스의 지속기간은, 경화 프로세스 동안에 하부층 (412) 의 두께의 25% 미만이 에칭되도록 충분히 짧게 유지된다. 경화 프로세스 동안에 하부층 (412) 의 에칭을 최소화하는 것이 바람직한데, 그 이유는 전술한 바와 같이 에칭 동안의 산소의 존재가 보잉 (bowing) 및 CD 증대를 야기시키기 때문이다. 오버에칭의 끝 부분 동안에 대부분의 증대가 발생하기 때문에, 하부층의 25% 미만을 에칭하는 것은 보잉 및 CD 증대를 최소화한다.
그런 다음, 환원 반응성 이온 에칭을 이용하여 상부 이미지 층 (416) 으로부터 하부층 (412) 으로 이미지가 전사되어 (단계 324), 하부층의 나머지 75% 초과를 통해 에칭한다. 이 단계는 이전의 단계와 동일한 처리 챔버에서 또는 상이한 처리 챔버에서 발생할 수도 있다. 이전의 단계와 동일한 처리 챔버에서 이 단계를 제공하는 것은, 이송 시간 (transfer time) 을 제거함으로써 스루풋 속도를 증가시킬 수도 있다. 도 7 은 환원 반응성 이온 에칭의 보다 상세한 흐름도이다. 환원 가스가 처리 챔버에 제공된다 (단계 704). 환원 가스는 산화 대신에 화학적 환원을 야기시키는 가스이다. 환원 가스의 예로는, N2 및 H2 가스 또는 NH3 가스와 같이 질소 및 수소 성분을 갖는 가스가 있다. 환원 가스는 부가적인 첨가제를 함유할 수도 있다. 아르곤과 같은 불활성 희석제가 첨가될 수도 있다. 측벽 형성을 보조하여 보다 직벽 (straight wall) 을 형성하는데 도움이 됨으로써 임계 치수 증대를 더 감소시키도록, 탄화수소가 첨가될 수도 있다. 또한, 소량의 불소를 제공하여 실리콘 잔여물을 제거함으로써 마이크로마스킹을 감소시키도록, 또한 부가적인 탄화수소를 제공하여 너무 많은 불소에 의해 야기될 수도 있는 증대를 감소시키도록, 불화탄화수소 (hydrofluorocarbon: HFC) 가 첨가될 수도 있다. 바람직하게는, 산화 대신에 환원을 제공하기 위해서, 환원 가스는 상당량의 산소를 함유하지는 않는다. 보다 바람직하게는, 환원 가스는 산소를 함유하지 않는다. 환원 가스의 예로는, 50 내지 1,000 sccm 의 N2, 50 내지 1,000 sccm 의 H2, 0 내지 100 sccm 의 탄화수소 (CxHy), 0 내지 20 sccm 의 CHxFy (바람직하게는, CH3F), 및 아르곤 희석제가 포함될 것이다. 보다 바람직하게는, 환원 가스는, 100 내지 500 sccm 의 N2, 100 내지 500 sccm 의 H2, 10 내지 50 sccm 의 탄화수소 (CxHy), 1 내지 5 sccm 의 CHxFy, 및 아르곤 희석제를 포함할 것이다. 일반적으로, 수소종은 환원을 제공하는 한편, 질소는 에칭에 대한 충격을 제공한다. 또한, 질소는 측벽을 보호하여 증대 및 보잉을 감소시키는데 사용될 수도 있다.
환원 가스로부터 에칭 플라즈마가 발생된다 (단계 708). 이는, 약 100 과 1,000 와트 사이의 전력에서 고주파 RF 를 제공하며 약 100 과 1,000 와트 사이의 전력에서 저주파 RF 를 제공함으로써 수행될 수도 있다. 고주파 RF 는 환원 가스를 에너자이징하여 플라즈마를 생성하는데 사용된다. 저주파 RF 는 에칭을 야기시키기에 충분한 에너지로 기판에 플라즈마를 가속화하는데 사용된다. 또한, 약 -20℃ 내지 약 60℃ 의 온도에서, 약 10 내지 500 mTorr 의 범위의 압력이 유지된다. 다른 환경적 파라미터가 이용될 수도 있지만, 플라즈마를 생성하며, 기판에 플라즈마를 가속화하여 에칭을 야기시키기에 충분한 에너지가 필요하다.
환원 가스로부터의 플라즈마로 하부층이 에칭되어, 상부 이미지 층으로부터 하부층으로 패턴을 전사한다 (단계 712). 질소 및 수소는 환원 에칭을 이용하는 환원 RIE 를 제공하고, 그에 따라 환원 건식 에칭을 제공한다. 도 4d 는 환원 반응성 이온 에칭의 결과로서 하부층 (412) 으로 에칭된 트렌치 (420) 를 나타낸다. 도시된 바와 같이, 패터닝된 상부 이미지 층 (416) 의 경화는 패시팅 및 부식에서의 감소를 야기시켜, 에칭 및 패시팅이 감소된 이후에 패터닝된 상부 이미지 층 (416) 의 보다 많은 부분이 남아있게 된다. 대안적으로, 경화로부터의 증가된 선택도는, 보다 얇은 상부 이미지 층이 사용되어 개선된 임계 치수를 제공하도록 할 수도 있고, 또는 보다 두꺼운 하부층이 제공될 수도 있다. 또한, 불화탄화수소의 첨가는 실리콘 잔여물을 감소시켰다. 도시된 바와 같이, 환원 화학물질 및 탄화수소 패시베이션은 임계 치수 증대를 감소시켰다.
에칭에 의해 하부층 (412) 으로부터 기판으로 이미지가 전사된다 (단계 328). 이 단계는 이전의 단계와 동일한 처리 챔버에서 또는 상이한 처리 챔버에서 발생할 수도 있다. 이전의 단계와 동일한 처리 챔버에서 이 단계를 제공하는 것은, 이송 시간을 제거함으로써 스루풋 속도를 증가시킬 수도 있다. 도 4e 는 홀 (434) 이 에칭된 이후의 에칭될 층 (408) 을 나타낸다. 홀 (434) 의 임계 치수는 오리지널 트렌치 크기의 임계 치수에 보다 근접한다. 또한, 마이크로마스킹에서의 감소는 홀의 저부를 보다 평탄하게 한다. 에칭될 층이 유전체 재료인 경우, 에칭 화학물질은 비교적 높은 바이어스에 의해 플라즈마로 CxHyFz, O2, Ar, 및 N2 로 이루어질 수도 있다. 하부층 (412) 으로부터 기판으로 패턴을 전사하는 동안에, 상부 이미지 층이 제거되어, 하부층은 기판에 에칭되는 패턴을 형성하기 위한 마스크로서 사용된다.
그런 다음, 하부층은 제거된다 (단계 332). 도 4f 는 하부층이 제거된 이후의 층 (408) 을 나타낸다. 홀 (434) 은 반도체 피처를 형성하는데, 이는 구리로 충전되어 콘택을 형성할 수도 있고, 또는 일부 다른 구조체를 형성하기 위해 사용될 수도 있다. 하부층이 단지 층 (408) 에 패턴을 전사하기 위한 것이기 때문에, 에칭이 완료된 이후에 하부층은 제거된다. 하부층이 제거되기 때문에, 연성 재료이며 제거가 용이한 폴리머로 하부층을 형성하는 것이 바람직하다. 바람직하게는, 하부층은 완전히 제거된다.
다른 실시형태에서는 경화 단계 없이 하부층의 환원 에칭을 제공할 수도 있다. 다른 실시형태는 경화 단계 다음에 산화를 이용하는 에칭을 제공할 수도 있다.
도 8a 및 도 8b 는 본 발명의 실시형태에서 사용되는 제어기 (535) 를 구현하기에 적합한 컴퓨터 시스템 (800) 을 도시한 도면이다. 도 8a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은, 집적 회로, 인쇄 회로 기판 및 소형 핸드헬드 디바이스로부터 거대한 수퍼 컴퓨터까지의 범위의 다수의 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (800) 은, 모니터 (802), 디스플레이 (804), 하우징 (806), 디스크 드라이브 (808), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 데이터를 컴퓨터 시스템 (800) 으로/으로부터 전달하는데 사용되는 컴퓨터-판독가능 매체이다.
도 8b 는 컴퓨터 시스템 (800) 에 대한 예시적인 블록도이다. 광범위한 서브시스템이 시스템 버스 (820) 에 연결된다. 프로세서(들) (822 ; 중앙 처리 유닛 또는 CPU 로도 언급됨) 가 메모리 (824) 를 포함한 저장 디바이스에 연결된다. 메모리 (824) 는 RAM (Random Access Memory) 및 ROM (Read Only Memory) 을 포함한다. 본 발명이 속하는 기술분야에 잘 알려진 바와 같이, ROM 은 데이터 및 명령들을 단방향으로 CPU 에 전달하도록 동작하고, RAM 은 통상적으로 데이터 및 명령들을 양방향으로 전달하는데 사용된다. 이들 타입의 메모리 모두는 후술하는 임의의 적합한 컴퓨터-판독가능 매체를 포함할 수도 있다. 또한, 고정식 디스크 (826) 는 CPU (822) 에 양방향으로 연결되는데; 이 고정식 디스크 (826) 는 부가적인 데이터 저장 용량을 제공하고, 또한 후술하는 임의의 컴퓨터-판독가능 매체를 포함할 수도 있다. 고정식 디스크 (826) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있고, 통상적으로 1 차 스토리지보다 느린 2 차 저장 매체 (예를 들어, 하드 디스크) 이다. 고정식 디스크 (826) 내에 유지된 정보는 적절한 경우에 가상 메모리와 같이 표준 방식으로 메모리 (824) 에 통합될 수도 있다는 것이 인식될 것이다. 착탈식 디스크 (814) 는 후술하는 임의의 컴퓨터-판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (822) 는, 디스플레이 (804), 키보드 (810), 마우스 (812) 및 스피커 (830) 와 같은 각종 입/출력 디바이스에 연결된다. 일반적으로, 입/출력 디바이스는: 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉식 디스플레이, 변환기 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 생체 인식 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. 선택적으로, CPU (822) 는, 네트워크 인터페이스 (840) 을 사용하여 또다른 컴퓨터 또는 전기통신 네트워크에 연결될 수도 있다. 이러한 네트워크 인터페이스를 사용하여, 전술한 방법 단계를 수행하는 동안에 CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크로 정보를 출력할 수도 있는 것으로 의도된다. 또한, 본 발명의 방법 실시형태는 CPU (822) 상에서만 실행될 수도 있고, 또는 처리의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네트워크를 통해서 실행될 수도 있다.
또한, 본 발명의 실시형태는, 각종 컴퓨터 구현 동작을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터-판독가능 매체를 구비한 컴퓨터 스토리지 제품에 관련된다. 매체 및 컴퓨터 코드는 본 발명을 위해 특별 설계 및 구성된 것일 수도 있고, 또는 컴퓨터 소프트웨어 기술분야에서 통상의 지식을 가진 자에게 이용가능하며 잘 알려진 종류일 수도 있다. 컴퓨터-판독가능 매체의 예로는: 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 광자기 매체; 주문형 집적 회로 (ASIC), 프로그래머블 논리 디바이스 (PLD), 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별 구성되는 하드웨어 디바이스가 포함되지만, 이에 한정되지는 않는다. 컴퓨터 코드의 예로는, 컴파일러에 의해 생성되는 바와 같은 머신 코드, 및 해석기를 사용하여 컴퓨터에 의해 실행되는 상위 레벨 코드를 포함한 파일이 포함된다. 또한, 컴퓨터 판독가능 매체는, 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내며 반송파에 포함되는 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
실시예
본 발명의 일 실시예에 있어서, 200 sccm 의 Ar, 300 sccm 의 N2 및 50 sccm 의 O2 로 이루어진 가스 화학물질을 사용하여 경화 단계가 수행되었다. 27 MHz RF 전원은 400 와트의 에너지를 제공하는 한편, 2 MHz RF 전원은 에너지를 제공하지 않았다. 압력은 70 mT 로 유지되었고, 온도는 20℃ 로 유지되었다.
하부층의 에칭에 있어서, 500 sccm 의 N2, 100 sccm 의 H2 및 5 sccm 의 CH3F 로 이루어진 가스 화학물질이 제공되었다. 27 MHz RF 전원은 200 와트의 에너지를 제공하는 한편, 2 MHz RF 전원은 200 와트의 전력을 제공하였다. 압력은 70 mT 로 유지되었고, 온도는 20℃ 로 유지되었다.
도 9 는 이 실시예에 따라 에칭된 하부층의 단면도의 현미경 사진이다. 상부 이미지 층 (904) 의 상부는 패시팅 없이 비교적 평탄하다. 측벽의 보잉 및 CD 증대는 현저하게 감소되었다. 또한, 실리콘 잔여물의 부재가 주목되어야 한다. 산화에 의한 경화는 1:5 의 선택도에 대해 거의 2 배일 수도 있는 하부층에 대한 상부 이미지 층의 선택도를 제공한다는 것이 발견되었다.
몇몇 바람직한 실시형태에 관하여 본 발명이 설명되었지만, 본 발명의 범위 내에 있는 변경물, 치환물, 변형물 및 각종 대용 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 다수의 대안적인 방식이 존재한다는 것이 주목되어야 한다. 따라서, 다음의 첨부된 특허청구범위는, 본 발명의 사상 및 범위 내에 있는 이러한 변경물, 치환물, 변형물 및 각종 대용 등가물 모두를 포함하는 것으로서 해석된다고 의도된다.

Claims (2)

  1. 기판 상의 층에 피처를 에칭하는 장치로서,
    상기 기판이 배치될 수도 있는 처리 챔버;
    상기 처리 챔버에 상이한 가스 화학물질을 제공할 수 있는 가스 소스;
    상기 가스 화학물질로부터 플라즈마를 발생시키는 이온화 전원; 및
    상기 가스 소스 및 상기 이온화 전원에 제어가능하게 접속된 제어기를 포함하고,
    상기 제어기는,
    상기 층 상에 폴리머 재료의 하부층을 형성하기 위한 컴퓨터 명령들;
    상기 하부층 상에 상부 이미지 층을 형성하기 위한 컴퓨터 명령들;
    상기 상부 이미지 층을 패터닝된 방사선 (patterned radiation) 에 노출시키기 위한 컴퓨터 명령들;
    상기 상부 이미지 층에 패턴을 현상하기 위한 컴퓨터 명령들;
    상기 상부 이미지 층의 상기 패턴을 경화하기 위한 컴퓨터 명령들로서, 상기 패턴을 경화하기 위한 컴퓨터 명령들은, 상기 상부 이미지 층을 산소 함유 플라즈마에 노출시키기 위한 컴퓨터 명령들, 및 상기 하부층의 25% 미만을 에칭하기 위한 컴퓨터 명령들을 포함하는, 상기 패턴을 경화하기 위한 컴퓨터 명령들;
    환원 건식 에칭을 이용하여 상기 상부 이미지 층으로부터 상기 하부층으로 상기 패턴을 전사하기 위한 컴퓨터 명령들; 및
    상기 하부층을 통해 상기 층을 에칭하기 위한 컴퓨터 명령들로서, 상기 상부 이미지 층은 완전히 제거되고, 상기 하부층은 상기 층을 에칭하는 동안에 패턴 마스크로서 사용되어, 상기 하부층으로부터 상기 층으로 상기 패턴을 전사하는, 상기 층을 에칭하기 위한 컴퓨터 명령들을 포함하는 컴퓨터 판독가능 매체를 포함하는, 피처를 에칭하는 장치.
  2. 삭제
KR1020117011312A 2003-05-09 2004-04-29 개선된 이중층 포토레지스트 패턴을 제공하는 방법 KR101155842B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/435,130 2003-05-09
US10/435,130 US7049052B2 (en) 2003-05-09 2003-05-09 Method providing an improved bi-layer photoresist pattern
PCT/US2004/013818 WO2004102277A2 (en) 2003-05-09 2004-04-29 Method providing an improved bi-layer photoresist pattern

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057021303A Division KR101144019B1 (ko) 2003-05-09 2004-04-29 개선된 이중층 포토레지스트 패턴을 제공하는 방법

Publications (2)

Publication Number Publication Date
KR20110073590A KR20110073590A (ko) 2011-06-29
KR101155842B1 true KR101155842B1 (ko) 2012-06-20

Family

ID=33416877

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117011312A KR101155842B1 (ko) 2003-05-09 2004-04-29 개선된 이중층 포토레지스트 패턴을 제공하는 방법
KR1020057021303A KR101144019B1 (ko) 2003-05-09 2004-04-29 개선된 이중층 포토레지스트 패턴을 제공하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020057021303A KR101144019B1 (ko) 2003-05-09 2004-04-29 개선된 이중층 포토레지스트 패턴을 제공하는 방법

Country Status (7)

Country Link
US (2) US7049052B2 (ko)
EP (1) EP1623275A2 (ko)
JP (1) JP2007503728A (ko)
KR (2) KR101155842B1 (ko)
CN (1) CN1816777B (ko)
TW (1) TWI348180B (ko)
WO (1) WO2004102277A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7785753B2 (en) * 2006-05-17 2010-08-31 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
JP2008085005A (ja) * 2006-09-27 2008-04-10 Elpida Memory Inc 半導体装置の製造方法
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20090208865A1 (en) * 2008-02-19 2009-08-20 International Business Machines Corporation Photolithography focus improvement by reduction of autofocus radiation transmission into substrate
CN101971301B (zh) 2008-03-11 2014-11-19 朗姆研究公司 利用稀有气体等离子的线宽粗糙度改进
KR101355434B1 (ko) 2012-06-12 2014-01-28 한국생산기술연구원 미세 홀이 배열된 폴리머 멤브레인을 포함하는 플라스틱 챔버 플레이트의 제작 방법
KR20180137523A (ko) 2016-05-03 2018-12-27 다우 실리콘즈 코포레이션 실세스퀴옥산 수지 및 옥사아민 조성물
WO2017192349A1 (en) * 2016-05-03 2017-11-09 Dow Corning Corporation Silsesquioxane resin and silyl-anhydride composition
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02172223A (ja) * 1988-12-26 1990-07-03 Hitachi Ltd プラズマエッチング装置
US20020066535A1 (en) * 1995-07-10 2002-06-06 William Brown Exhaust system for treating process gas effluent
EP1324374A2 (en) * 2001-12-04 2003-07-02 Anelva Corporation Etching System for an insulation-film

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5041361A (en) 1988-08-08 1991-08-20 Midwest Research Institute Oxygen ion-beam microlithography
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
JP2547944B2 (ja) * 1992-09-30 1996-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 二層レジスト組成物を使用する光学リソグラフによりサブ−ハーフミクロンパターンを形成する方法
DE19504434C1 (de) 1995-02-10 1996-05-15 Siemens Ag Verfahren zur Herstellung siliziumhaltiger Masken
US5726102A (en) * 1996-06-10 1998-03-10 Vanguard International Semiconductor Corporation Method for controlling etch bias in plasma etch patterning of integrated circuit layers
KR100249172B1 (ko) * 1996-10-24 2000-03-15 김영환 감광막 식각방법
US5985524A (en) * 1997-03-28 1999-11-16 International Business Machines Incorporated Process for using bilayer photoresist
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
JP2000305273A (ja) * 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
US20010004510A1 (en) * 1998-12-15 2001-06-21 Wheeler David R. Refractory bilayer resist materials for lithography using highly attenuated radiation
EP1033744A3 (en) * 1999-02-26 2009-07-15 Applied Materials, Inc. Improved dry photolithography process for deep ultraviolet exposure
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
DE19919036C1 (de) 1999-04-27 2001-01-11 Bosch Gmbh Robert Ätzmaske und Verfahren zu deren Herstellung
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
WO2001059825A1 (en) * 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
KR100520188B1 (ko) * 2000-02-18 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US6495311B1 (en) * 2000-03-17 2002-12-17 International Business Machines Corporation Bilayer liftoff process for high moment laminate
JP3403374B2 (ja) * 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6541361B2 (en) * 2001-06-27 2003-04-01 Lam Research Corp. Plasma enhanced method for increasing silicon-containing photoresist selectivity
US6551938B1 (en) * 2002-01-25 2003-04-22 Taiwon Semiconductor Manufacturing Company N2/H2 chemistry for dry development in top surface imaging technology
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
KR100989107B1 (ko) * 2003-03-31 2010-10-25 인터내셔널 비지니스 머신즈 코포레이션 다층 포토레지스트 건식 현상을 위한 방법 및 장치
US7226706B2 (en) * 2003-05-20 2007-06-05 Taiwan Semiconductor Manufacturing Company Modification of mask blank to avoid charging effect

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02172223A (ja) * 1988-12-26 1990-07-03 Hitachi Ltd プラズマエッチング装置
US20020066535A1 (en) * 1995-07-10 2002-06-06 William Brown Exhaust system for treating process gas effluent
EP1324374A2 (en) * 2001-12-04 2003-07-02 Anelva Corporation Etching System for an insulation-film

Also Published As

Publication number Publication date
WO2004102277B1 (en) 2005-03-03
KR20110073590A (ko) 2011-06-29
JP2007503728A (ja) 2007-02-22
TW200504830A (en) 2005-02-01
KR20060020621A (ko) 2006-03-06
US20040224264A1 (en) 2004-11-11
US7049052B2 (en) 2006-05-23
CN1816777A (zh) 2006-08-09
WO2004102277A3 (en) 2004-12-29
TWI348180B (en) 2011-09-01
CN1816777B (zh) 2011-06-08
US20060166145A1 (en) 2006-07-27
KR101144019B1 (ko) 2012-05-11
EP1623275A2 (en) 2006-02-08
WO2004102277A2 (en) 2004-11-25

Similar Documents

Publication Publication Date Title
US8124516B2 (en) Trilayer resist organic layer etch
KR101335137B1 (ko) 수소 유량 램핑으로 포토레지스트 플라즈마를 컨디셔닝하는 단계를 포함하는 에칭 방법
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
US7645707B2 (en) Etch profile control
JP5081917B2 (ja) フッ素除去プロセス
US7491647B2 (en) Etch with striation control
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
US20060166145A1 (en) Method providing an improved bi-layer photoresist pattern
KR20100106501A (ko) 고 식각율 레지스트 마스크를 이용한 식각
US8470715B2 (en) CD bias loading control with ARC layer open
KR20100059843A (ko) 마스크 트리밍
KR101155843B1 (ko) 균일성 제어에 의한 에칭
KR20070046095A (ko) 유전층 에칭 방법
US7544521B1 (en) Negative bias critical dimension trim

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150522

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160526

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170529

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180525

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190529

Year of fee payment: 8