KR20120094856A - 의사-하드마스크에 대한 위글링 제어 - Google Patents

의사-하드마스크에 대한 위글링 제어 Download PDF

Info

Publication number
KR20120094856A
KR20120094856A KR1020120015693A KR20120015693A KR20120094856A KR 20120094856 A KR20120094856 A KR 20120094856A KR 1020120015693 A KR1020120015693 A KR 1020120015693A KR 20120015693 A KR20120015693 A KR 20120015693A KR 20120094856 A KR20120094856 A KR 20120094856A
Authority
KR
South Korea
Prior art keywords
layer
pseudo
hardmask
patterned
etching
Prior art date
Application number
KR1020120015693A
Other languages
English (en)
Other versions
KR101882519B1 (ko
Inventor
벤-리 슈
라진더 딘드사
비나이 포레이
에릭 에이 허드슨
3세 앤드류 디 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120094856A publication Critical patent/KR20120094856A/ko
Application granted granted Critical
Publication of KR101882519B1 publication Critical patent/KR101882519B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭층 내에 피쳐들을 에칭하는 방법. 에칭층 위에 배치된 비정질 탄소 또는 폴리실리콘의 패터닝된 의사-하드마스크에 대한 컨디셔닝이 제공되며, 여기서 컨디셔닝은, 탄화수소 가스를 포함하는 불소 프리 성막 가스를 제공하는 단계, 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계, 500 볼트 미만의 바이어스를 제공하는 단계, 및 패터닝된 의사-하드마스크의 최상단에 성막물을 형성하는 단계를 포함한다. 에칭층은 패터닝된 의사-하드마스크를 통해서 에칭된다.

Description

의사-하드마스크에 대한 위글링 제어{WIGGLING CONTROL FOR PSEUDO-HARDMASK}
본 발명은 반도체 디바이스의 제조 동안 마스크를 통해서 에칭층을 에칭하는 것에 관한 것이다. 보다 상세하게, 본 발명은 반도체 디바이스의 제조 동안 비정질 탄소 또는 폴리실리콘과 같은 의사-하드마스크 (pseudo-hardmask) 를 통해서 유전체 층을 에칭하는 것에 관한 것이다. 비정질 탄소는, 예를 들어, 약간의 수소를 통한 화학 기상 증착 (CVD) 과 같은 성막 프로세스를 이용하는 성막된 고온 탄소층이다. 이러한 비정질 탄소층은 400℃ 보다 큰 온도에서 성막될 수도 있다.
반도체 웨이퍼 프로세싱 동안, 반도체 디바이스의 피쳐들은 잘 알려진 패터닝 및 에칭 프로세스들을 이용하여 웨이퍼 내에 정의된다. 이러한 프로세스들에서, 포토레지스트 (PR) 재료는 웨이퍼 상에 성막될 수도 있고, 그후 레티클에 의해 필터링된 광에 노광된다. 레티클은, 광이 이 레티클을 통해서 전파하는 것을 차단하는 예시적인 피쳐 기하학형상으로 패터닝된 투명 플레이트일 수도 있다.
레티클을 통과한 후, 광은 포토레지스트 재료의 표면에 접촉한다. 이 광은, 현상제가 포토레지스트 재료의 일부를 제거할 수 있도록, 포토레지스트 재료의 화학적 조성을 변화시킨다. 포지티브 포토레지스트 재료들의 경우 노광된 영역들이 제거되고, 네거티브 포토레지스트 재료들의 경우 노광되지 않은 영역들이 제거되어, 그 결과 패터닝된 포토레지스트 마스크를 초래한다. 이 패턴은 패터닝된 포토레지스트 마스크로부터 중간 하드마스크 층을 이용할 수도 있는 의사-하드마스크 층으로 전사될 수도 있다. 그후, 웨이퍼는 의사-하드마스크에 의해 더 이상 보호되지 않는 영역들로부터 기저 재료들을 제거하도록 에칭되어, 이에 따라 웨이퍼 내에 바람직한 피쳐들을 생성한다. 의사-하드마스크의 이용은 높은 분해능에서 더 깊은 에칭을 허용한다.
전술한 사항을 달성하기 위해 그리고 본 발명의 목적에 따라서, 에칭층 내에 피쳐들을 에칭하는 방법을 설명한다. 에칭층 위에 배치된 비정질 탄소 또는 폴리실리콘의 패터닝된 의사-하드마스크에 대한 컨디셔닝이 제공되고, 여기서 컨디셔닝은 탄화수소 가스를 포함하는 불소 프리 성막 가스 (fluorine free deposition gas) 를 제공하는 단계, 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계, 500 볼트 미만의 바이어스를 제공하는 단계, 및 패터닝된 의사-하드마스크의 최상단 위에 성막을 형성하는 단계를 포함한다. 에칭층은 패터닝된 의사-하드마스크를 통해서 에칭된다.
본 발명의 다른 양태에서, 에칭층 내에 피쳐들을 에칭하기 위한 방법이 제공된다. 비정질 탄소층은 에칭층 위에 형성된다. 반사방지층은 비정질 탄소층 위에 형성된다. 패터닝된 포토레지스트층은 반사방지층 위에 형성된다. 패터닝된 포토레지스트층의 패턴은 비정질 탄소층으로 전사되어 패터닝된 비정질 탄소 마스크를 형성한다. 패터닝된 비정질 탄소 마스크에 대한 컨디셔닝이 제공되는데, 여기서 컨디셔닝은, 질소를 포함하는 불소 프리 성막 가스 및 C2H4 또는 CH4 를 포함하는 탄화수소 가스를 제공하는 단계, 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계, 패터닝된 비정질 탄소 마스크의 최상단 위에 성막을 형성하는 단계 (여기서, 성막은, 패터닝된 비정질 탄소 마스크의 최상단 상의 성막의 두께인 최상단 두께, 및 패터닝된 비정질 탄소 마스크의 측벽들 상의 최대 두께인 측벽 두께를 가지고, 최상단 두께는 측벽 두께의 적어도 2 배임), 패터닝된 비정질 탄소 마스크를 통해서 에칭층을 에칭하는 단계 (여기서, 컨디셔닝 및 에칭은 복수의 횟수로 주기적으로 반복됨) 를 포함한다. 패터닝된 비정질 탄소 마스크는 제거된다.
본 발명의 다른 양태에서, 에칭층 내에 피쳐들을 에칭하는 장치가 제공된다. 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버벽, 플라즈마 프로세싱 챔버 인클로저 내에서 기판을 지지하고 처킹하기 위한 척, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지시키기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극 또는 코일, 플라즈마 프로세싱 챔버 인클로저에 가스를 제공하기 위한 가스 인렛, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기하기 위한 가스 아웃렛을 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 소스는 가스 인렛과 유체 연결되어 있고, 불소 프리 성막 가스 소스 및 에칭 가스 소스를 포함한다. 제어기는, 가스 소스, 척, 및 적어도 하나의 전극 또는 코일에 제어가능하게 접속되고, 적어도 하나의 프로세서 및 비일시적인 (non-transient) 컴퓨터 판독가능 매체를 포함한다. 비일시적인 컴퓨터 판독가능 매체는, 에칭층 위에 배치된 비정질 탄소 또는 폴리실리콘의 패터닝된 의사-하드마스크에 대한 컨디셔닝을 제공하기 위한 컴퓨터 판독가능 코드로서, 여기서 컨디셔닝은, 탄화수소 가스를 포함하는 불소 프리 성막 가스를 제공하는 컴퓨터 판독가능 코드, 불소 프리 성막 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, 500 볼트 미만의 바이어스를 제공하기 위한 컴퓨터 판독가능 코드, 및 패터닝된 의사-하드마스크의 최상단에 성막물을 형성하기 위한 컴퓨터 판독가능 코드를 포함하고, 여기서 성막물은, 패터닝된 의사-하드마스크의 최상단의 성막물의 두께인 최상단 두께 및 패터닝된 의사-하드마스크의 측벽들 상의 최대 두께인 측벽 두께를 갖고, 또한 최상단 두께는 측벽 두께의 적어도 2 배인 상기 컨디셔닝을 제공하기 위한 컴퓨터 판독가능 코드, 패터닝된 의사-하드마스크를 통해서 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드, 및 컨디셔닝 및 에칭을 적어도 2 회 주기적으로 반복하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이러한 특징 및 다른 특징들은, 본 발명의 상세한 설명에서 더욱 상세하게 그리고 이하의 도면과 관련하여 설명될 것이다.
본 발명은, 첨부된 도면의 도들에서 한정이 아닌 예시로서 설명되고, 유사한 참조 수치는 유사한 엘리먼트들을 지칭한다.
도 1 은 본 발명의 실시형태의 플로우차트.
도 2 는 도 1 에 도시된 프로세스를 통합하는 보다 상위 레벨의 플로우차트.
도 3a 내지 도 3h 는 본 발명의 실시형태에서 에칭된 스택의 개략적인 도면.
도 4 는 에칭에 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략적인 도면.
도 5a 내지 도 5b 는 본 발명의 실시형태들에서 이용된 제어기를 구현하기에 적절한 컴퓨터 시스템.
도 6 은 도 3h 에서 도시된 구조의 부분 평면도.
도 7 은 단일 성막을 이용하여 에칭된 구조의 개략적인 단면도.
도 8 은 도 7 에 도시된 구조의 평면도.
도 9 는 컨디셔닝 단계 없이 에칭된 구조의 개략적인 단면도.
도 10 은 도 9 에서 도시된 구조의 평면도.
이하, 본 발명은 첨부된 도면에서 예시된 것과 같이 몇몇 바람직한 실시형태들을 참조하여 더욱 상세하게 설명될 것이다. 이하의 설명에서, 본 발명의 전체적인 이해를 제공하기 위해 몇몇 구체적인 세부사항들이 설명된다. 그러나, 당업자에게는, 본 발명이 몇몇 또는 모든 구체적인 실시형태들 없이 실행될 수도 있다는 것이 명백할 것이다. 다른 예시에서, 잘 공지된 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 방해하지 않기 위해 상세하게 설명되지 않았다.
이해를 용이하게 하기 위해, 도 1 은 본 발명의 실시형태에 이용된 프로세스의 상위 레벨의 플로우차트이다. 에칭층 내에 피쳐들을 에칭하는 방법이 2 단계 프로세스로 제공된다. 제 1 단계는 비정질 탄소 또는 폴리실리콘을 포함하는 패터닝된 의사-하드마스크에 대한 컨디셔닝을 제공한다. 제 2 단계는 의사-하드마스크를 통해서 에칭층의 에칭을 제공한다. 컨디셔닝은 탄화수소 가스를 포함하는 불소 프리 성막 가스를 제공한다 (단계 104). 불소 프리 성막 가스는 플라즈마로 형성된다 (단계 108). 500 볼트 미만의 바이어스가 제공된다 (단계 112). 플라즈마 및 낮은 바이어스는 의사-하드마스크의 최상단 위에 성막물을 형성하는데 (단계 116), 이는 컨디셔닝 단계를 완성한다. 그후, 에칭층이 에칭된다 (단계 120). 이 실시형태에서, 에칭은 에칭층의 두께의 1/2 미만을 에칭한다. 따라서, 컨디셔닝 단계 및 에칭 단계는 적어도 2 회 이상 반복된다 (단계 124).
실시예
도 2 는 본 발명의 예시의 플로우차트이다. 비정질 탄소층이 에칭층 위에 형성된다 (단계 204). 하드마스크층이 비정질 탄소층 위에 형성된다 (단계 208). 반사방지층이 하드마스크층 위에 형성된다 (단계 212). 포토레지스트 마스크가 반사방지층 위에 형성된다 (단계 216). 도 3a 는, 기판 (304) 위에 형성된 에칭층 (308), 에칭층 (308) 위에 형성된 의사-하드마스크층 (310), 의사 하드마스크층 (310) 위에 형성된 하드마스크층 (312), 하드마스크층 (312) 위에 형성된 반사방지층 (314), 반사방지층 (314) 위에 형성된 포토레지스트 마스크 피쳐들 (320) 을 갖는 포토레지스트 마스크 (316) 의 개략 단면도이다. 반사방지층은 무기 및 유기 반사방지층일 수도 있다. 무기 반사방지층에 이용된 공통 무기 재료는 SiON 이다. 다양한 실시형태들에서, 층들은 다양한 층들 사이에 제공된 하나 이상의 추가적인 층들을 가질 수도 있다. 예를 들어, 하나 이상의 층들은 에칭층 (308) 과 기판 (304) 사이에 있을 수도 있다. 에칭층 (308) 은 중간 유전체층일 수도 있고, 여기서 디바이스들의 하나 이상의 층들은 에칭층과 기판 사이에 있을 수도 있다. 다른 예시에서, 실리콘 질화물층은 에칭층인 실리콘 산화물층 아래에 위치될 수도 있다. 바람직하게, 에칭층은 실리콘 산화물계 재료이다. 더욱 바람직하게, 에칭층은 질소 또는 수소 성분을 더 포함한다.
기판 (304) 은, 하나 이상의 단계들이 수행될 수도 있는 플라즈마 프로세싱 챔버 내에 위치된다. 도 4 는 하나 이상의 하기 단계들에 대해 이용될 수도 있는 플라즈마 프로세싱 챔버 (400) 의 개략적인 도면이다. 플라즈마 프로세싱 챔버 (400) 는, 한정 링 (402; confinement ring) (다른 실시형태에서는 다른 한정 디바이스들이 이용될 수도 있음), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410), 및 배기 펌프 (420) 를 포함한다. 플라즈마 프로세싱 챔버 (400) 내에서, 기판 (304) 은 하부 전극 (408) 상에 위치된다. 하부 전극 (408) 은 기판 (304) 을 홀딩하기 위한 적절한 기판 처킹 메커니즘 (예를 들어, 정전, 기계 클램핑 등) 을 포함한다. 반응기 최상단 (428) 는 하부 전극 (408) 에 대해 바로 반대에 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408), 및 한정 링 (402) 은 한정된 플라즈마 체적을 정의한다. 가스는, 가스 소스 (410) 에 의해 가스 인렛 (443) 을 통해서 한정된 플라즈마 체적 (440) 으로 공급되고, 배기 펌프 (420) 에 의해 한정 링 (402) 및 배기 포트를 통해서 한정된 플라즈마 체적으로부터 배기된다. 가스 배기를 돕는 것 이외에, 배기 펌프 (420) 는 압력을 조절하도록 돕는다. 이 실시형태에서, 가스 소스 (410) 는 탄화수소 가스 소스 (412), 에칭 가스 소스 (416), 및 질소 가스 소스 (418) 를 포함한다. 가스 소스 (410) 는 다른 가스 소스들을 더 포함할 수도 있다. RF 소스 (448) 는 하부 전극 (408) 에 전기적으로 접속된다. 챔버벽 (452) 은 한정 링 (402), 상부 전극 (404), 및 하부 전극 (408) 을 둘러싼다. 전극에 RF 전력을 접속시키는 상이한 조합들이 가능하다. 바람직한 실시형태에서, 27 MHz, 60 MHz 및 2 MHz 전원이 하부 전극에 접속된 RF 전원 (448) 을 형성하고, 상부 전극은 접지된다. 제어기 (435) 는, RF 소스 (448), 배기 펌프 (420), 및 가스 소스 (410) 에 제어가능하게 접속된다. 프로세스 챔버는 a: CCP (용량 결합 플라즈마; capacitive coupled plasma) 또는 ICP (유도 결합 플라즈마; inductive coupled plasma) 반응기일 수 있다.
도 5a 및 도 5b 는, 본 발명의 실시형태들에 이용된 제어기 (435) 를 구현하기에 적절한 컴퓨터 시스템 (500) 을 예시한다. 도 5a 는 컴퓨터 시스템의 일 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은, 집적 회로, 인쇄 회로 보드, 및 소형 휴대용 디바이스에서 대형 슈퍼 컴퓨터까지 이르는 수많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (500) 은 모니터 (502), 디스플레이 (504), 하우징 (506), 디스크 디바이스 (505), 키보드 (510), 및 마우스 (512) 를 포함한다. 디스크 (514) 는 데이터를 컴퓨터 시스템 (500) 에 그리고 컴퓨터 시스템 (500) 으로부터 전송하는데 이용되는 컴퓨터-판독가능 매체이다.
도 5b 는 컴퓨터 시스템 (500) 에 대한 블록도의 예시이다. 광범위하게 다양한 서브시스템이 시스템 버스 (520) 에 부착되어 있다. 프로세서(들) (522) (중앙 프로세싱 장치 (CPU) 로 또한 지칭됨) 가 메모리 (524) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (524) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 당업계에 주지된 바와 같이, ROM 은 데이터 및 명령을 CPU 로 일 방향으로만 전송하도록 동작하고, RAM 은 일반적으로 데이터 및 명령을 양방향 방식으로 전송하도록 이용된다. 이들 유형의 메모리들 모두는 후술하는 임의의 적절한 컴퓨터-판독가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (526) 는 CPU (522) 로 양방향으로 커플링되고; 이는, 추가적인 데이터 저장 용량을 제공하고 후술하는 임의의 컴퓨터-판독가능 매체를 또한 포함할 수도 있다. 고정 디스크 (526) 는 프로그램, 데이터 등을 저장하는데 이용될 수도 있고, 이는 일반적으로 주 저장장치보다 더 느린 보조 저장 매체 (예를 들어, 하드 디스크) 이다. 적절한 경우에, 고정 디스크 (526) 내에 보유된 정보가 메모리 (524) 내에서 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것이 이해될 것이다. 탈착식 디스크 (514) 는 후술하는 임의의 컴퓨터-판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (522) 는 다양한 입/출력 디바이스, 예를 들어, 디스플레이 (504), 키보드 (510), 마우스 (512), 및 스피커 (530) 에 커플링된다. 일반적으로, 입/출력 디바이스는: 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크로폰, 터치-감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 페이퍼 테이프 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. CPU (522) 는 선택적으로 네트워크 인터페이스 (540) 를 이용하여 다른 컴퓨터 또는 전기통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 통해서, CPU 가 네트워크로부터 정보를 수신할 수도 있고 또는 전술한 방법의 단계들을 수행하는 도중에 네트워크에 정보를 출력할 수도 있다는 사실이 고찰된다. 또한, 본 발명의 방법 실시형태는 CPU (522) 에서 단독으로 실행될 수도 있고 또는 프로세싱의 일부를 공유하는 원격 CPU 와 관련하여 인터넷과 같은 네트워크를 통해서 실행될 수도 있다.
또한, 본 발명의 실시형태는 다양한 컴퓨터-구현 동작을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터-판독가능 매체를 구비한 컴퓨터 저장 제품에 관한 것이다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 구체적으로 설계되고 구성된 것일 수도 있고, 또는 컴퓨터 소프트웨어 업계의 당업자에게 주지되고 이용가능한 종류일 수도 있다. 유형의 비일시적인 (tangible non-transient) 컴퓨터-판독가능 매체의 예시는 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광 매체; 광플로피 디스크와 같은 자기-광 매체; 및 프로그램 코드를 저장 및 실행하도록 특별히 구성된 하드웨어 디바이스 (예를 들어, 주문형 집적 회로 (ASIC), 프로그래머블 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스) 를 포함하지만 이에 한정하지 않는다. 컴퓨터 코드의 예는, 예를 들어, 컴파일러에 의해 생성된 것과 같은 머신 코드 및 인터프리터를 이용하여 컴퓨터에 의해 실행되는 보다 상위 레벨 코드를 함유하는 파일을 포함한다. 또한, 컴퓨터 판독가능 매체는, 반송파에 수록된 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
플라즈마 프로세싱 챔버 (400) 는 포토레지스트 마스크 (316) 로부터 하드마스크층 (312) 으로 패턴을 전사하는데 이용될 수도 있다 (단계 220). 그후, 패턴은 하드마스크 (312) 로부터 의사-하드마스크층 (310) 으로 전사되어 패터닝된 의사-하드마스크층을 형성한다 (단계 224). 하드마스크 (312) 가 제거된다 (단계 228). 도 3b 는 하드마스크가 제거된 이후에 기판 (304) 의 개략 단면도이다. 중간 하드마스크가 없는 다른 실시형태들은 하드마스크에 패터닝을 먼저 전사하지 않고 포토레지스트로부터 의사-하드마스크로 패턴을 직접 전사할 수도 있다.
그후, 에칭층 사이클이 수행된다 (단계 232). 이 실시형태에서, 에칭층 사이클은 도 1 에 도시된 플로우차트의 프로세스이다. 이 예시에서, 에칭층 사이클은 2 단계 프로세스로 제공된다. 제 1 단계는, 비정질 탄소 또는 폴리실리콘을 포함하는 패터닝된 의사-하드마스크에 대한 컨디셔닝하는 단계 및 그후 에칭층을 에칭하는 단계를 제공한다. 컨디셔닝은, 탄화수소 가스를 포함하는 불소 프리 성막 가스를 제공한다 (단계 104). 바람직하게, 탄화수소 가스는 C2H4 또는 CH4 이다. 더욱 바람직하게, 탄화수소 가스는 C2H4 이다. 성막 가스의 일 예는, 5 - 100 mTorr 의 압력에서 1 - 200 sccm (standard cubic centimeters per minute) 의 C2H4, 10 - 2000 sccm 의 N2, 및 1 - 200 sccm 의 O2 를 제공할 것이다. 다른 실시형태들에서, 불소 프리 성막 가스는 실리콘 함유 성분을 포함할 수도 있다. 다른 실시형태들에서, 실리콘 함유 성분은 실리콘 또는 실리콘 이산화물 챔버 부분에 의해 제공될 수도 있다. -80 ℃ 내지 120 ℃ 사이에서 웨이퍼 온도가 유지된다. 불소 프리 성막 가스는 플라즈마로 형성된다 (단계 108). 이 예시에서, 2 MHz RF 전력에서 0 - 200 Watt 및 60 MHz RF 전력에서 0 - 600 Watt 가 제공된다. 500 볼트 미만의 바이어스가 제공된다 (단계 112). 컨디셔닝은 1 - 120 초 사이 동안 유지된다. 플라즈마 및 낮은 바이어스는 의사-하드마스크의 최상단에 성막물을 형성하고 (단계 116), 이는 컨디셔닝 단계를 완성한다. 도 3c 는, 컨디셔닝 단계가 의사-하드마스크 (310) 의 최상단 상에 성막물 (324) 을 형성한 후에, 기판 (304) 의 단면도이다. 성막물 (324) 은, 최상단 두께 (328) 및 측벽들의 가장 두꺼운 부분의 두께 (332) 를 갖는다. 이 실시형태에서, 최상단 두께 (328) 는 측벽 성막물의 가장 두꺼운 부분의 두께 (332) 의 적어도 2 배이다. 더욱 바람직하게는, 최상단 두께 (328) 는 측벽 성막물 (332) 의 가장 두꺼운 부분의 두께의 적어도 5 배이다. 일 실험에서, 측벽 성막물 (332) 의 가장 두꺼운 부분은 약 1㎚ 였고, 최상단 두께 (328) 는 5㎚ 보다 컸다. 다른 실험에서, 측벽 성막물 (332) 의 가장 두꺼운 부분은 1㎚ 보다 작았고, 최상단 두께 (328) 는 2㎚ 보다 컸다. 따라서, 두 번째 실험에서, 최상단 두께 (328) 의 가장 두꺼운 부분은 측벽 성막물 (332) 의 가장 두꺼운 부분의 2 배보다 컸다.
그후, 에칭층이 에칭된다 (단계 120). 이 실시형태에서, 에칭은 에칭층의 두께의 1/2 미만으로 에칭한다. 도 3d 는, 에칭층이 제 1 사이클 동안 에칭된 후에 기판의 단면도이다. 도 3d 에서 어떠한 성막물도 도시되지 않지만, 약간의 옹스트롬의 성막물은 에칭 단계 이후에 최상단에 유지될 수도 있다. 에칭은 에칭층의 두께의 1/2 미만으로 에칭하기 때문에, 컨디셔닝 단계 및 에칭 단계는 적어도 2 회 이상 주기적으로 반복된다 (단계 124).
도 3e 는, 제 2 사이클에서의 성막이 최상단 성막물 (340) 을 형성한 후에 기판의 단면도이다. 도 3f 는 제 2 사이클에서의 에칭 이후에 기판의 단면도이다. 도 3g 는, 제 3 사이클에서 성막이 최상단 성막물 (344) 을 형성한 후에 기판의 단면도이다. 도 3h 는, 에칭이 완료된 후에 에칭된 층으로부터 라인 (348) 을 형성하는 기판 (304) 의 단면도이다. 에칭 단계 이후에 모든 사이클에서, 의사-하드마스크상의 좌측에서 성막물의 10 옹스트롬까지 존재할 수도 있다. 에칭이 완료된 후, 의사-하드마스크 (310) 는 별도의 제거 단계에서 제거될 수도 있다.
이들 단면도들은 감소된 위글링 (wiggling) 을 가지는 그리고 어떠한 CD 이득을 가지지 않는 결과적인 피쳐들을 예시한다. 도 6 은 형성된 라인들 (348) 의 평면도이다. 라인들 (348) 이 어떠한 위글링도 없고 또는 최소한의 위글링을 갖는 일직선인 것으로 도 6 에서 관찰될 수 있다. 복수의 사이클들을 통한 실험에서, 최소한의 위글링을 갖는 라인들이 생성되었다.
비정질 탄소 의사-하드마스크를 이용하는 이 실시형태에서, 질소는 비정질 탄소 의사-하드마스크를 경화시키고, 비정질 탄소 재료를 화학적으로 변형시키지 않고 위글링을 감소시키는 것으로 발견되었다. 또한, 질소는 성막 성분의 농도를 조절하기 위한 희석제로서 이용되어 원하는 성막을 제공한다. 불소 프리 성막 가스의 이용은, 의사-하드마스크의 최상단 상에 보호 성막을 제공할 뿐만 아니라, 불소 프리 성막을 제공하는 것으로 발견되었다. 뜻밖에, 불소 프리 성막은, 불소 공격으로부터 비정질 탄소 패터닝된 마스크를 보호하고 비정질 탄소 마스크의 위글링을 감소시키거나 또는 위글링을 방지하는 방식으로 불소 싱크 (fluorine sink) 로서 작용하는 불소를 스캐밴징 (scavenge) 하는 것으로 알려져 있다.
성막 동안, 60MHz 미만의 소스 주파수를 갖는 RF 전력 보다 적어도 60MHz 의 소스 주파수를 갖는 RF 전력을 더 많이 제공하는 것이 성막 프로세스를 개선시킨다는 것을 발견하였다. 더욱 바람직하게, 60MHz 미만의 주파수에는 낮은 RF 전력이 제공되거나 또는 어떠한 RF 전력도 제공되지 않는다. 이러한 고주파수 RF 전력을 이용하여, 압력은 5 와 150mTorr 사이에서 유지될 수도 있다. 더 낮은 RF 주파수들이 이용될 수도 있지만, 이온 데미지를 감소시키는데 있어서는 더 높은 압력들이 필요할 수도 있다는 것이 발견되었다. 예를 들어, 2MHz RF 전력은 단독으로 150mTorr 초과, 예를 들어, 500mTorr 의 압력을 이용할 수도 있다. 그러나, 60MHz 이상의 높은 주파수 및 낮은 압력은 감소된 이온 데미지를 제공한다는 것을 발견하였다. 바람직하게, 바이어스는 500 볼트 미만이다. 더욱 바람직하게, 바이어스는 300 볼트 미만이다. 또한, 낮은 바이어스는 이온 데미지 유도된 라인 위글링을 감소시킨다.
다른 실시형태에서, 의사-하드마스크는 폴리실리콘이다. 이러한 실시형태에서, O2 가 의사-하드마스크를 경화시키고 희석제로서 이용될 수도 있기 때문에, 희석제는 질소 대신에 O2 일 수 있다.
성막 및 에칭 사이클들이 적어도 3 회 수행되는 것이 바람직하지만, 단일 성막을 이용하여 에칭을 완성하는 것도 가능하다. 도 7 은, 의사-하드마스크 (712) 상에서 단일 성막을 이용하는 에칭 이후에, 기판 (704) 상의 라인들 (708) 의 단면도이다. 라인들 (708) 은, 라인들의 저부에 CD 가 증가되도록, 약간 테이퍼져있다. 이론에 한정하지 않고, 단일 성막에 후속하여, 에칭층 (708) 의 전체 두께를 에칭하는 후속 단일-단계 에칭을 수행하는 것은, 의사-하드마스크의 형상이 에칭 동안 테이퍼링될 것이고, 이는 테이퍼링된 측벽들을 유도하여, 이에 따라, 도 7 에 도시된 바와 같이 에칭층 내의 테이퍼링된 측벽들 및 더 큰 저부 CD 를 유도하는 것으로 믿어져 왔다. 도 8 은 기판 (704) 상에 라인들 (708) 의 평면도이다. 단일 성막 프로세스를 이용하는 실험에서, 라인에서의 약간의 위글링이 존재했지만, 위글링의 감소가 달성되었다.
도 9 는, 의사-하드마스크 (912) 위에 성막을 제공하지 않는 에칭 프로세스 이후에, 기판 (904) 상의 라인들 (908) 의 단면도이다. 도 10 은 기판 (904) 상의 라인들 (908) 의 평면도이다. 이 도시는, 컨디셔닝 단계가 없이 현저한 위글링이 초래되는 결과를 도시한다.
대안적인 에칭 프로세스는 에칭 동안 패시베이션 가스를 제공할 수도 있다. 에칭 프로세스는, 에칭 동안 패시베이션 가스를 제공하는 에칭 프로세스가 라인들의 CD 를 증가시키는 것으로 발견되었다.
위글링을 감소시키거나 또는 제거함으로써, 인터-디바이스 및 인트라-디바이스 산출이 증가될 수도 있다. 의사-하드마스크 위글링의 감소는, 20㎚ 미만의 CD 및 250㎚ 까지일 수도 있는 100㎚ 보다 큰 두께 (또는 높이) 를 갖는 라인 피쳐들을 제공한다.
본 발명은 몇몇 바람직한 실시형태들의 관점에서 설명되지만, 본 발명의 범위에 포함되는 변경, 치환, 변형, 및 다양한 대체 등가물들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 수많은 대안적인 방법들이 존재한다는 것에 유의해야만 한다. 따라서, 이하의 첨부된 청구항은 본 발명의 진정한 사상 및 범위에 포함되는 것으로서 모든 이러한 변경, 치환, 변형, 및 다양한 대체 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (19)

  1. 에칭층 내에 피쳐들을 에칭하는 방법으로서,
    a) 상기 에칭층 위에 배치된 비정질 탄소 또는 폴리실리콘의 패터닝된 의사-하드마스크에 대한 컨디셔닝을 제공하는 단계로서,
    상기 컨디셔닝은:
    탄화수소 가스를 포함하는 불소 프리 성막 가스 (fluorine free deposition gas) 를 제공하는 단계;
    상기 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계;
    500 볼트 미만의 바이어스를 제공하는 단계; 및
    상기 패터닝된 의사-하드마스크의 최상단에 성막물을 형성하는 단계를 포함하는, 상기 컨디셔닝을 제공하는 단계; 및
    b) 상기 패터닝된 의사-하드마스크를 통해서 상기 에칭층을 에칭하는 단계를 포함하는, 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 단계 a) 및 상기 단계 b) 를 적어도 2 회 반복하는 단계를 더 포함하고,
    상기 성막물은, 상기 패터닝된 의사-하드마스크의 최상단의 상기 성막물의 두께인 최상단 두께, 및 상기 패터닝된 의사-하드마스크의 측벽들 상의 최대 두께인 측벽 두께를 가지고,
    상기 최상단 두께는 상기 측벽 두께의 적어도 2 배인, 피쳐 에칭 방법.
  3. 제 2 항에 있어서,
    상기 의사-하드마스크는 비정질 탄소이고,
    상기 불소 프리 성막 가스는 질소를 더 포함하는, 피쳐 에칭 방법.
  4. 제 3 항에 있어서,
    상기 최상단 두께는 상기 측벽들 상의 상기 최대 두께의 적어도 5 배인, 피쳐 에칭 방법.
  5. 제 4 항에 있어서,
    상기 탄화수소 가스는 C2H4 또는 CH4 를 포함하는, 피쳐 에칭 방법.
  6. 제 5 항에 있어서,
    상기 에칭층은 실리콘 산화물계 재료인, 피쳐 에칭 방법.
  7. 제 6 항에 있어서,
    상기 에칭층은 질소 및 수소를 더 포함하는, 피쳐 에칭 방법.
  8. 제 5 항에 있어서,
    상기 에칭층 위에 비정질 탄소층을 형성하는 단계;
    상기 의사-하드마스크층 위에 반사방지층을 형성하는 단계;
    상기 반사방지층 위에 패터닝된 포토레지스트층을 형성하는 단계; 및
    상기 패터닝된 포토레지스트층의 패턴을 상기 의사-하드마스크층에 전사시키는 단계를 더 포함하는, 피쳐 에칭 방법.
  9. 제 5 항에 있어서,
    상기 불소 프리 성막 가스는 실리콘 함유 성분을 더 포함하는, 피쳐 에칭 방법.
  10. 제 5 항에 있어서,
    상기 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계는, 5mTorr 미만의 압력 및 적어도 60MHz 의 RF 신호를 제공하는 단계를 포함하는, 피쳐 에칭 방법.
  11. 제 5 항에 있어서,
    상기 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계는, 100mTorr 초과의 압력 및 20MHz 미만의 RF 신호를 제공하는 단계를 포함하는, 피쳐 에칭 방법.
  12. 제 5 항에 있어서,
    상기 바이어스는 300 볼트 미만인, 피쳐 에칭 방법.
  13. 제 2 항에 있어서,
    상기 의사-하드마스크는 폴리실리콘이고,
    상기 불소 프리 성막 가스는 산소를 더 포함하는, 피쳐 에칭 방법.
  14. 제 2 항에 있어서,
    상기 에칭층을 에칭하는 단계는, 상기 에칭층 내에 복수의 라인들을 형성하고,
    상기 라인들은 20㎚ 이하의 폭 및 적어도 100㎚ 의 두께를 갖는, 피쳐 에칭 방법.
  15. 제 2 항에 있어서,
    상기 에칭층 위에 비정질 탄소층을 형성하는 단계;
    상기 의사-하드마스크층 위에 반사방지층을 형성하는 단계;
    상기 반사방지층 위에 패터닝된 포토레지스트층을 형성하는 단계; 및
    상기 패터닝된 포토레지스트층의 패턴을 상기 의사-하드마스크층에 전사시키는 단계를 더 포함하는, 피쳐 에칭 방법.
  16. 제 15 항에 있어서,
    상기 에칭층을 에칭한 후에 상기 의사-하드마스크층을 제거하는 단계를 더 포함하는, 피쳐 에칭 방법.
  17. 에칭층 내에 피쳐들을 에칭하는 방법으로서,
    a) 상기 에칭층 위에 비정질 탄소층을 형성하는 단계;
    b) 상기 비정질 탄소층 위에 반사방지층을 형성하는 단계;
    c) 상기 반사방지층 위에 패터닝된 포토레지스트층을 형성하는 단계;
    d) 상기 비정질 탄소층에 상기 패터닝된 포토레지스트층의 패턴을 전사시켜 패터닝된 비정질 탄소 마스크를 형성하는 단계;
    e) 상기 패터닝된 비정질 탄소 마스크에 대한 컨디셔닝을 제공하는 단계로서, 상기 컨디셔닝은:
    질소를 포함하는 불소 프리 성막 가스 및 C2H4 또는 CH4 를 포함하는 탄화수소 가스를 제공하는 단계;
    상기 불소 프리 성막 가스로부터 플라즈마를 형성하는 단계; 및
    상기 패터닝된 비정질 탄소 마스크의 최상단에 성막물을 형성하는 단계를 포함하고, 상기 성막물은, 상기 패터닝된 비정질 탄소 마스크의 최상단의 상기 성막물의 두께인 최상단 두께 및 상기 패터닝된 비정질 탄소 마스크의 측벽들 상의 최대 두께인 측벽 두께를 갖고, 상기 최상단 두께는 상기 측벽 두께의 적어도 2 배인, 상기 컨디셔닝을 제공하는 단계;
    f) 상기 패터닝된 비정질 탄소 마스크를 통해서 상기 에칭층을 에칭하는 단계로서, 상기 단계 e) 및 f) 는 주기적으로 복수회 반복되는, 상기 에칭층을 에칭하는 단계; 및
    g) 상기 패터닝된 비정질 탄소 마스크를 제거하는 단계를 포함하는, 피쳐 에칭 방법.
  18. 제 17 항에 있어서,
    상기 컨디셔닝을 제공하는 단계는, 500 볼트 미만의 바이어스를 제공하는 단계를 더 포함하는, 피쳐 에칭 방법.
  19. 에칭층 내에 피쳐들을 에칭하는 장치로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버벽, 상기 플라즈마 프로세싱 챔버 인클로저 내에서 기판을 지지하고 처킹하기 위한 척, 상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극 또는 코일, 상기 플라즈마 프로세싱 챔버 인클로저에 가스를 제공하기 위한 가스 인렛, 및 상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기시키기 위한 가스 아웃렛을 포함하는 플라즈마 프로세싱 챔버;
    상기 가스 인렛과 유체 연결되고, 불소 프리 성막 가스 소스 및 에칭 가스 소스를 포함하는 가스 소스; 및
    상기 가스 소스, 상기 척, 및 상기 적어도 하나의 전극 또는 코일에 제어가능하게 접속되고, 적어도 하나의 프로세서 및 비일시적인 (non-transient) 컴퓨터 판독가능 매체를 포함하는 제어기를 구비하며,
    상기 비일시적인 컴퓨터 판독가능 매체는,
    상기 에칭층 위에 배치된 비정질 탄소 또는 폴리실리콘의 패터닝된 의사-하드마스크에 대한 컨디셔닝을 제공하기 위한 컴퓨터 판독가능 코드로서, 탄화수소 가스를 포함하는 불소 프리 성막 가스를 제공하는 컴퓨터 판독가능 코드; 상기 불소 프리 성막 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드; 500 볼트 미만의 바이어스를 제공하기 위한 컴퓨터 판독가능 코드; 및 상기 패터닝된 의사-하드마스크의 최상단에 성막물을 형성하기 위한 컴퓨터 판독가능 코드를 포함하고, 상기 성막물은, 상기 패터닝된 의사-하드마스크의 최상단의 상기 성막물의 두께인 최상단 두께, 및 상기 패터닝된 의사-하드마스크의 측벽들 상의 최대 두께인 측벽 두께를 가지고, 상기 최상단 두께는 상기 측벽 두께의 적어도 2 배인, 상기 컨디셔닝을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 패터닝된 의사-하드마스크를 통해서 상기 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드; 및
    상기 컨디셔닝 및 상기 에칭을 적어도 2 회 주기적으로 반복하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 에칭 장치.
KR1020120015693A 2011-02-17 2012-02-16 의사-하드마스크에 대한 위글링 제어 KR101882519B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/029,824 2011-02-17
US13/029,824 US8304262B2 (en) 2011-02-17 2011-02-17 Wiggling control for pseudo-hardmask

Publications (2)

Publication Number Publication Date
KR20120094856A true KR20120094856A (ko) 2012-08-27
KR101882519B1 KR101882519B1 (ko) 2018-07-26

Family

ID=46653085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120015693A KR101882519B1 (ko) 2011-02-17 2012-02-16 의사-하드마스크에 대한 위글링 제어

Country Status (6)

Country Link
US (2) US8304262B2 (ko)
JP (1) JP2012175105A (ko)
KR (1) KR101882519B1 (ko)
CN (1) CN102646585B (ko)
SG (1) SG183602A1 (ko)
TW (1) TWI545648B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140040037A (ko) * 2012-09-24 2014-04-02 램 리써치 코포레이션 제어된 위글링에 의한 에칭을 위한 방법
KR20170140078A (ko) * 2016-06-10 2017-12-20 도쿄엘렉트론가부시키가이샤 에칭 처리 방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
CN103854995B (zh) * 2012-12-06 2016-10-19 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置
CN103903972A (zh) * 2014-04-22 2014-07-02 上海华力微电子有限公司 一种小尺寸图形的制作方法
US10515818B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
JP6363266B2 (ja) * 2017-06-22 2018-07-25 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
CN107968094A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种用于3d nand闪存的台阶结构成形工艺
WO2022005716A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
WO2023239617A1 (en) * 2022-06-09 2023-12-14 Lam Research Corporation In situ declogging in plasma etching
WO2024044217A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a re-deposited helmet mask
CN117976685A (zh) * 2024-03-29 2024-05-03 合肥晶合集成电路股份有限公司 一种图像传感器及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070116076A (ko) * 2005-03-08 2007-12-06 램 리써치 코포레이션 에칭 프로세스를 위한 안정화된 포토레지스트 구조
US20090263970A1 (en) * 2004-06-22 2009-10-22 Keun-Hee Bai Method of forming fine pattern of semiconductor device using sige layer as sacrificial layer, and method of forming self-aligned contacts using the same
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2947542A1 (de) * 1979-11-26 1981-06-04 Leybold-Heraeus GmbH, 5000 Köln Einrichtung zur ueberwachung und/oder steuerung von plasmaprozessen
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4877757A (en) * 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US5283087A (en) * 1988-02-05 1994-02-01 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6110322A (en) * 1998-03-06 2000-08-29 Applied Materials, Inc. Prevention of ground fault interrupts in a semiconductor processing system
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US20060021971A1 (en) 2004-07-30 2006-02-02 Kevin Pears Method for plasma treatment of a carbon layer
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7291553B2 (en) * 2005-03-08 2007-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascene with improved etch profiles
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US20070181530A1 (en) 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7407597B2 (en) * 2006-09-14 2008-08-05 Lam Research Corporation Line end shortening reduction during etch
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US20080176401A1 (en) * 2007-01-23 2008-07-24 United Microelectronics Corp. Method for forming contact hole
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7846645B2 (en) 2007-12-14 2010-12-07 Tokyo Electron Limited Method and system for reducing line edge roughness during pattern etching
KR20100106501A (ko) 2007-12-21 2010-10-01 램 리써치 코포레이션 고 식각율 레지스트 마스크를 이용한 식각
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
US7772122B2 (en) * 2008-09-18 2010-08-10 Lam Research Corporation Sidewall forming processes
US8313664B2 (en) * 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8329051B2 (en) * 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US20130026136A1 (en) * 2011-07-29 2013-01-31 Qualcomm Mems Technologies, Inc. Sputter-etch tool and liners

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090263970A1 (en) * 2004-06-22 2009-10-22 Keun-Hee Bai Method of forming fine pattern of semiconductor device using sige layer as sacrificial layer, and method of forming self-aligned contacts using the same
KR20070116076A (ko) * 2005-03-08 2007-12-06 램 리써치 코포레이션 에칭 프로세스를 위한 안정화된 포토레지스트 구조
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140040037A (ko) * 2012-09-24 2014-04-02 램 리써치 코포레이션 제어된 위글링에 의한 에칭을 위한 방법
KR20170140078A (ko) * 2016-06-10 2017-12-20 도쿄엘렉트론가부시키가이샤 에칭 처리 방법

Also Published As

Publication number Publication date
US20120214310A1 (en) 2012-08-23
US8470126B2 (en) 2013-06-25
SG183602A1 (en) 2012-09-27
US8304262B2 (en) 2012-11-06
US20130020026A1 (en) 2013-01-24
JP2012175105A (ja) 2012-09-10
CN102646585B (zh) 2015-03-18
TWI545648B (zh) 2016-08-11
CN102646585A (zh) 2012-08-22
TW201246360A (en) 2012-11-16
KR101882519B1 (ko) 2018-07-26

Similar Documents

Publication Publication Date Title
KR101882519B1 (ko) 의사-하드마스크에 대한 위글링 제어
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US7429533B2 (en) Pitch reduction
US7491647B2 (en) Etch with striation control
US7772122B2 (en) Sidewall forming processes
KR101534883B1 (ko) 마스크 트리밍
US8912633B2 (en) In-situ photoresist strip during plasma etching of active hard mask
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
US8986492B2 (en) Spacer formation for array double patterning
US20070075038A1 (en) Vertical profile fixing
KR20100074119A (ko) Arl 에칭을 이용한 마스크 트리밍
US8470715B2 (en) CD bias loading control with ARC layer open
JP2013016844A (ja) 均一性を制御したエッチング
US20070181530A1 (en) Reducing line edge roughness
US20090291562A1 (en) Helium descumming
JP2008507137A (ja) 低誘電体のエッチング
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist
KR20070102938A (ko) 피처 프로파일을 제어하기 위한 마스크 프로파일 제어

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right