KR20070116076A - 에칭 프로세스를 위한 안정화된 포토레지스트 구조 - Google Patents

에칭 프로세스를 위한 안정화된 포토레지스트 구조 Download PDF

Info

Publication number
KR20070116076A
KR20070116076A KR1020077022854A KR20077022854A KR20070116076A KR 20070116076 A KR20070116076 A KR 20070116076A KR 1020077022854 A KR1020077022854 A KR 1020077022854A KR 20077022854 A KR20077022854 A KR 20077022854A KR 20070116076 A KR20070116076 A KR 20070116076A
Authority
KR
South Korea
Prior art keywords
mask
sidewall
layer
photoresist
etching
Prior art date
Application number
KR1020077022854A
Other languages
English (en)
Other versions
KR101274382B1 (ko
Inventor
에스 엠 레자 사드자디
에릭 에이 허드슨
피터 시리글리아노
김지수
지쑹 후앙
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/076,087 external-priority patent/US7241683B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070116076A publication Critical patent/KR20070116076A/ko
Application granted granted Critical
Publication of KR101274382B1 publication Critical patent/KR101274382B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/949Energy beam treating radiation resist on semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

에칭층에 피쳐를 형성하는 방법이 제공된다. 에칭층 상부에 제 1 마스크가 형성되는데, 이 제 1 마스크는 폭을 가지는 복수의 스페이스를 정의한다. 제 1 마스크는 측면으로 에칭되는데, 에칭된 제 1 마스크는 제 1 마스크의 스페이스의 폭보다 큰 폭을 가지는 복수의 스페이스를 정의한다. 측벽층이 에칭된 제 1 마스크 상부에 형성되고, 이 측벽층은 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭 보다 작은 폭을 가지는 복수의 스페이스를 정의한다. 측벽층을 통해서 에칭층으로 피쳐가 에칭되고, 이 피쳐는 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭 보다 작은 폭을 가진다. 마스크와 측벽층이 제거된다.
측벽층, 포토레지스트 마스크, 플라즈마 프로세싱 챔버, 피쳐 형성 방법.

Description

에칭 프로세스를 위한 안정화된 포토레지스트 구조{STABILIZED PHOTORESIST STRUCTURE FOR ETCHING PROCESS}
본 발명은 반도체 디바이스 형성에 관한 것이다.
반도체 웨이퍼 프로세싱 도중에, 반도체 디바이스의 피쳐 (feature) 는 공지된 패터닝 및 에칭 프로세스를 이용하여 웨이퍼 내에 정의된다. 이들 프로세스에서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착되고, 그 후, 레티클에 의해 필터링된 광에 대해 노출된다. 이 레티클은, 광이 그 레티클을 통해서 전파하는 것을 차단하는 예시적인 피쳐의 기하학적 형상으로 패터닝된 투명판일 수도 있다.
레티클을 통해서 통과한 후, 광은 포토레지스트 재료의 표면에 접촉한다. 현상액이 포토레지스트 재료의 일부를 제거할 수 있도록, 이 광은 포토레지스트 재료의 화학적 조성을 변화시킨다. 포지티브 포토레지스트 재료의 경우, 노출된 영역이 제거되고, 네거티브 포토레지스트 재료의 경우, 노출되지 않은 영역이 제거된다. 그 후, 웨이퍼는 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역으로부터 기초 재료를 제거하기 위해 에칭되어, 그로 인해, 웨이퍼 내에 소정의 피쳐를 정의한다.
다양한 포토레지스트의 생성방법이 공지된다. 193㎚ 이상의 발생 포토레 지스트 재료는 낮은 에칭 선택도, 라인 에지 거칠기, 스트리에이션 (striation), 및 라인 위글링 (line wiggling) 이 약한 결과를 초래하는 문제를 가지는 것으로 발견되었다. 라인 에지 거칠기는, 포토레지스트 마스크에서 이격된 부분을 화학적으로 에칭하는 것과 같은, 포토레지스트 마스크에 대한 변형에 기인할 수도 있거나, 또는, 불균일한 폴리머 증착에 기인할 수도 있다. 불균일한 폴리머 증착은 이용된 가스, 표면 재료 폴리머 접착 계수, 또는 재-스퍼터링에 의존할 수도 있다. 라인 위글링은 포토레지스트 마스크 상에 재료의 증착으로 기인된 응력에 관련된다고 여겨진다. 포토레지스트 마스크 상의 불균일한 증착은 라인 위글링 응력을 야기할 수도 있다. 이 프로세스에서 나타날 수도 있는 문제는 스트리에이션 및 CD (critical dimension; 임계 치수) 의 한계이다.
전술한 사항을 달성하기 위해, 본 발명의 목적에 따라서, 에칭층에 피쳐를 형성하기 위한 방법이 제공된다. 제 1 마스크는 에칭층 상부에 형성되고, 여기서, 제 1 마스크는 폭을 가지는 복수의 스페이스를 정의한다. 제 1 마스크는, 에칭된 제 1 마스크가 폭을 가지고 복수의 스페이스를 정의하는 곳에서 측면으로 에칭되고, 여기서, 에칭된 제 1 마스크 스페이스의 폭은 제 1 마스크 스페이스의 폭 보다 크다. 측벽층은 에칭된 제 1 마스크 상부에 형성되고, 여기서, 측벽층은 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭 보다 작은 폭을 가지는 복수의 스페이스를 정의한다. 측벽층을 통해서 에칭층으로 피쳐들이 에칭되고, 여기서 이 피쳐들은 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭보다 작은 폭을 가진다. 마스크 및 측벽층이 제거된다.
본 발명의 다른 실시형태에서, 에칭층에 피쳐를 형성하기 위한 방법이 제공된다. 복수의 비아들이 에칭층으로 에칭된다. 트렌치 포토레지스트 마스크가 형성된다. 트렌치 마스크 상부 및 복수의 비아의 측벽상으로 측벽층이 형성된다. 측벽층을 통해서 에칭층의 내부로 트렌치가 에칭된다.
본 발명의 다른 실시형태에서, 에칭층에 피쳐를 에칭하기 위한 방법이 제공된다. 패터닝된 포토레지스트 마스크는 측벽을 가지는 포토레지스트 피쳐를 통해서 에칭층 상부에 형성되고, 여기서, 포토레지스트 피쳐의 측벽은 피크 (peak) 및 밸리 (valley) 를 형성하는 스트리에이션을 갖는다. 포토레지스트 피쳐 측벽의 스트리에이션은 감소된다. 스트리에이션을 감소시키는 것은 하나 이상의 주기를 포함하고, 여기서, 각각의 주기는 포토레지스트 피쳐 측벽의 스트리에이션에 의해 형성된 피크를 에치 백하는 단계 및 포토레니스트 피쳐의 측벽상에 증착하는 단계를 포함한다. 이 포토레지스트 피쳐를 통해서 에칭층으로 피쳐가 에칭된다. 포토레지스트 마스크가 제거된다.
본 발명의 다른 실시형태에서, 측벽을 가지는 포토레지스트 피쳐를 가지는 패터닝된 포토레지스트 마스크 하부에 배치된 에칭층에 피쳐를 에칭하는 방법이 제공되는데, 여기서, 포토레지스트 피쳐의 측벽은 피크 및 밸리를 형성하는 스트리에이션을 갖는다. 포토레지스트 피쳐의 측벽의 스트리에이션은 하나 이상의 주기를 포함하여 감소되고, 여기서, 각각의 주기는 스트리에이션 피크 에치 백 가스를 공급하는 주기, 스트리에이션 피크 에치 백 가스로부터 플라즈마를 생성하는 주기, 스트리에이션 피크 에치 백 가스를 중지시키는 주기, 포토레지스트 피쳐 측벽 증착 가스를 공급하는 주기, 포토레지스트 피쳐 측벽 증착 가스로부터 플라즈마를 생성하는 주기, 및 포토레지스트 피쳐 측벽 증착 가스를 중지시키는 주기를 포함한다. 에칭층이 에칭된다. 포토레지스트 마스크가 제거된다.
본 발명의 다른 실시형태에서, 피크와 밸리를 형성하는 스트리에이션을 가지는 측벽을 가지는 포토레지스트 피쳐를 가지는 포토레지스트 마스크 하부에, 에칭층에 피쳐를 형성하는 장치가 제공된다. 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버벽, 플라즈마 프로세싱 챔버 인클로저 내에서 기판을 지지하는 기판 지지대, 플라즈마 프로세싱 챔버 인클로저 내에서 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 전력을 플라즈마 프로세싱 챔버 인클로저로 공급하기 위한 하나 이상의 전극, 플라즈마 프로세싱 챔버 인클로저로 가스를 공급하기 위한 가스 인렛, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 아웃렛을 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 소스는 가스 인렛과 유동적으로 연결되어 있고, 여기서 가스 소스는 스트리에이션 피크 에치 백 가스 소스, 포토레지스트 피쳐 측벽 증착 가스 소스, 및 에칭층 에칭 가스 소스를 포함한다. 제어기는 가스 소스 및 하나 이상의 전극에 제어가능하게 연결된다. 제어기는 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 포토레지스트 피쳐의 스트리에이션을 감소시키기 위한 컴퓨터 판독가능 코드를 포함하고, 복수의 주기를 포함하는데, 여기서 각각의 주기는 스트리에이션 피크 에치 백 가스 소스로부터 스트리에이션 피크 에치 백 가스를 공급하기 위한 컴퓨터 판독가능 코드, 스트리에이션 피크 에치 백 가스로부터 플라즈마를 생성시키기 위한 컴퓨터 판독가능 코드, 스트리에이션 피크 에치 백 가스 소스로부터의 스트리에이션 피크 에치 백 가스를 중지시키기 위한 컴퓨터 판독가능 코드, 포토레지스트 피쳐 측벽 증착 가스 소스로부터 포토레지스트 피쳐 측벽 증착 가스를 공급하기 위한 컴퓨터 판독가능 코드, 포토레지스트 피쳐 측벽 증착 가스로부터 플라즈마를 생성시키기 위한 컴퓨터 판독가능 코드, 및 포토레지스트 피쳐 측벽 증착 가스로부터의 포토레지스트 피쳐 측벽 증착 가스를 중지시키기 위한 컴퓨터 판독가능 코드를 포함한다. 컴퓨터 판독가능 매체는 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드 및 포토레지스트 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 더 포함한다.
본 발명의 이러한 특징 및 다른 특징들은 이하의 본 발명의 상세한 설명 및 이하의 도면에 관련하여 설명된다.
본 발명은 첨부된 도면의 수치로 예시의 방법으로 설명되고, 한정의 의미가 아니며, 동일한 참조 번호는 동일한 엘리먼트를 지칭한다.
도 1 은 본 발명의 일 실시형태에 이용될 수도 있는 프로세스의 높은 레벨 흐름도이다.
도 2a 내지 도 2d 는 본 발명의 일 실시형태에 따라서 프로세스된 에칭층의 개략적인 단면도이다.
도 3 은 본 발명의 다른 실시형태에 이용될 수도 있는 프로세스의 높은 레벨 흐름도이다.
도 4a 내지 도 4h 는 본 발명의 다른 실시형태에 따라서 프로세스된 에칭층의 개략적인 단면도이다.
도 5 는 측벽층을 증착하는 단계의 더욱 상세한 흐름도이다.
도 6 은 본 발명을 실행하는데 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 7a 및 도 7b 는 본 발명의 실시형태에 이용된 제어기를 구현하는데 적절한 컴퓨터 시스템이다.
도 8a 내지 도 8c 는 증착의 단면도이다.
도 9 는 본 발명의 일 실시형태에 이용될 수도 있는 프로세스의 높은 레벨 흐름도이다.
도 10a 내지 도 10i 는 본 발명의 일 실시형태에 따라서 프로세스된 적층체의 개략 단면도 및 평면도이다.
도 11 은 스트리에이션을 감소하는 단계의 더욱 상세한 흐름도이다.
도 12 는 본 발명을 실행하는데 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
본 발명은, 첨부된 도면에 도시된 것과 같이, 몇몇 바람직한 실시형태를 참조하여 상세하게 설명된다. 이하의 설명에서, 수치의 구체적인 사항이 본 발명의 전반적인 이해를 제공하기 위해 설명된다. 그러나, 본 발명이 이들 구체적 인 세부사항의 일부 또는 전부 없이도 실행될 수도 있다는 것이 당업자에게는 명백하다. 다른 예에서, 공지된 프로세스 단계 및/또는 구조에 대해서는 본 발명을 불필요하게 모호하게 하지 않기 위해서 상세하게 설명되지 않는다.
본 발명은 작은 CD (critical dimension) 를 가지는 피쳐를 제공한다. 더욱 상세하게는, 본 발명은 이 피쳐를 에칭하기 위해 이용된 포토레지스트 패턴의 CD 보다 작은 CD 의 포토레지스트 패턴을 가지는 피쳐를 제공한다.
이해를 용이하게 하기 위해, 도 1 은 본 발명의 일 실시형태에서 이용될 수도 있는 프로세스의 높은 레벨 흐름도이다. 제 1 마스크는 기판 상에 배치된 에칭층 상부에 형성된다 (단계 104). 도 2a 는 본 발명의 일 실시형태에서 패터닝된 마스크의 단면도이다. 기판 (204) 상에, 웨이퍼로서, 배리어층 (206) 이 위치될 수도 있다. 배리어층 (206) 상으로, 도전성 금속층 또는 폴리실리콘층 또는 유전체층과 같은 에칭층 (208) 이 형성된다. 에칭층 (208) 상으로, DARC 층과 같은 반사방지층 (ARL; 210) 이 형성된다. 패터닝된 제 1 마스크 (212) 는 ARL (210) 상부에 형성된다. 도시된 바와 같이, 포토레지스트 마스크 내부의 스페이스 (222) 는 폭 "Sp" 를 갖는다.
도 2b 에 도시된 바와 같이, 에칭된 제 1 포토레지스트 마스크 (214) 내의 스페이스 (224) 가 에칭된 제 1 마스크 내의 스페이스의 폭 "Sp" 보다 큰 폭 "Se" 을 가지도록, 제 1 포토레지스트 마스크가 측면으로 에칭된다 (단계 108). 상세한 설명 및 특허청구범위에서, 측면 에칭은, 스페이스 (개구) 를 형성하는 마스 크의 측부 에칭으로서 정의되고, 여기서 측면 에칭은 스페이스의 크기를 증가시킨다. 트렌치 마스크에 대해, 이러한 측면 에칭은 트렌치 마스크의 트리밍일 수도 있다. 또한, 이러한 측면 에칭은 마스크의 두께를 축소시킬 수도 있다.
에칭된 제 1 마스크 내에 스페이스의 폭을 축소시키기 위해 마스크의 상부에 측벽층이 형성된다 (단계 112). 도 2c 는 에칭된 제 1 포토레지스트 마스크 (214) 의 측벽 상부에 증착된 측벽층 (218) 을 가지는 에칭된 제 1 포토레지스트 마스크 (214) 의 개략적인 단면도이다. 측벽층 (218) 은 축소된 스페이스 (226) 를 형성한다. 이 예에서, 측벽층 (218) 에 의해 형성된 스페이스 (226) 는 포토레지스트 마스크의 폭 Sp 와 대략 동일한 폭 Ss 를 갖는다. 도시된 바와 같이, 측벽층은 매우 등각 (conformal) 이고 실질적으로 수직인 측벽을 가지는 것이 바람직하다. 실질적으로 수직인 측벽의 일 예는, 저면에서 상면까지 피쳐의 저면에 대해 88° 내지 90° 사이의 각을 이루는 측벽이다. 등각 측벽은 피쳐의 상면에서 저면까지 실질적으로 동일한 두께를 가지는 증착층을 가진다. 비등각 측벽은 실질적이지 않은 수직 측벽을 제공하는 패시팅 (faceting) 또는 브레드-로핑 (bread loafing) 형태를 형성할 수도 있다. (패시팅 형태로부터) 가늘어진 측벽 또는 브레드-로핑 측벽은 증착된 층의 CD 를 증가시킬 수도 있고, 열등한 에칭 마스크를 제공할 수도 있다. 바람직하게는, 측벽상의 증착이 제 1 마스크 피쳐의 저면상의 증착보다 두껍다. 더욱 바람직하게는, 제 1 마스크 피쳐의 저면 상으로는 어떠한 층도 증착되지 않는다. 또한, 이 예에서, 측벽층 (218) 은 도시된 바와 같이 포토레지스트 마스크 (214) 의 상면 위에 층을 형성한다. 다른 실시형태에서, 측벽층은 포토레지스트 마스크의 상면 위에 층을 형성하지 않는다.
다음으로, 측벽층 스페이스를 통해서 피쳐가 에칭층 (208) 으로 에칭된다 (단계 116). 도 2d 는 에칭층 (208) 으로 에칭된 피쳐 (232) 의 세트를 나타낸다.
측벽층 (218) 은 포토레지스트 마스크 (214) 보다 더 내에칭성을 가지는 재료로 이루어져, 증가된 에칭 선택도를 제공한다. 또한, 측벽층은 포토레지스트 라인 위글링, 라인 에지 거칠기, 및 스트리에이션을 예방하기 위해 선택된 재료로 이루어진다.
다음으로, 측벽층 및 포토레지스트 마스크가 제거된다 (단계 120). 바람직하게, 포토레지스트 마스크와 측벽층 모두를 스트립하는데 에칭 챔버 내에서 단일 마스크 스트립 단계가 이용된다.
비아 퍼스트 듀얼 다마신 실시형태
본 발명의 다른 실시형태에서, 본 발명은 듀얼 다마신 비아 제 1 프로세스에 이용된다. 도 3 은 이 실시형태에 이용되는 프로세스의 높은 레벨 흐름도이다. 제 1 마스크는 기판 위에 배치된 에칭층 상부에 형성된다 (단계 304). 도 4a 는 본 발명의 일 실시형태에서 패터닝된 마스크의 단면도이다. 기판 (404) 상으로, 웨이퍼와 같은, 배리어층 (406) 이 위치될 수도 있다. 배리어층 (406) 상으로, 유전체층과 같은 에칭층 (408) 이 형성된다. 에칭층 (408) 상으로, DARC 층과 같은 반사방지층 (ARL; 410) 이 형성된다. ARL (410) 상으로 패터닝된 제 1 마스크 (412) 가 형성된다. 이 실시형태에서, 제 1 마스크는 비아 마스크이다. 제 1 마스크는 비아를 형성하기 위한 하나 이상의 스페이스 (422) 를 갖는다.
도 4b 에 도시된 바와 같이, 에칭된 제 1 포토레지스트 마스크 (414) 내의 스페이스 (424) 가 에칭된 제 1 마스크 내의 스페이스의 폭 보다 큰 폭을 가지도록, 제 1 포토레지스트 마스크가 측면으로 에칭된다 (단계 308). 에칭된 제 1 마스크 내의 스페이스의 폭을 축소시키기 위해 그 마스크 상부에 측벽층 (414) 이 형성된다 (단계 312). 다음으로, 제 1 피쳐 (432) 가 측벽층 스페이스를 통해서 에칭층 (408) 으로 에칭된다 (단계 316). 이 실시형태에서, 제 1 피쳐 (432) 는 비아이다. 다음으로, 도 4c 에 도시된 바와 같이, 제 1 마스크 및 측벽층이 제거된다 (단계 320).
도 4d 에 도시된 바와 같이, 에칭층 상부에 제 2 마스크 (424) 가 형성된다 (단계 324). 이 실시형태에서, 제 2 마스크 (424) 는 트렌치 마스크이다. 도 4e 에 도시된 바와 같이, 제 2 마스크 (424) 는 측면 에칭된다 (단계 328). 도 4f 에 도시된 바와 같이, 에칭된 제 2 마스크 (424) 및 제 1 피쳐 상으로 측벽층 (428) 이 형성된다. 도 4g 에 도시된 바와 같이, 측벽층 (428) 을 통해서 에칭층 (408) 으로 트렌치 (444) 가 에칭된다. 이 실시형태에서, 비아 내의 측벽층 (428) 은 몇몇 펜싱 (fencing; 448) 을 유발할 수도 있다.
다음으로, 도 4h 에 도시된 바와 같이, 제 2 마스크 및 측벽층이 제거된다 (단계 340). 이 단계 도중에 펜스 (fence) 가 제거될 수도 있거나, 또는, 부가적인 단계를 통해서 제거될 수도 있다. 에칭 선택도를 증가시키고 위글링, 라인 에지 거칠기, 및 스트리에이션을 감소시키기 위해, 포토레지스트를 보호하도록 측벽층을 이용하는 것 이외에, 이 실시형태는 트렌치 에칭 도중에 비아의 노칭 (notching) 및 보잉 (bowing) 을 예방하기 위해 비아들의 측벽 상에 측벽층을 이용한다. 비아 측벽 상의 내에칭성 측벽이 노칭을 감소시키거나 또는 제거하기 위해 더욱 많은 보호를 제공한다. 이러한 측벽이 개선된 트렌치 에칭을 제공할 수도 있고, 더욱 쉽게 제거되고, 제거 프로세스 도중에 손상을 최소화하기 때문에, 비아 플러그에서 비아의 측벽 상의 측벽의 형성은 바람직하다.
측벽을 형성하기 위한 가스 모듈레이션 이용
바람직한 실시형태에서, 등각의 측벽을 형성하기 위해 가스 모듈레이션이 이용된다. 바람직하게는, 측벽은 폴리머 재료로 형성되고, 마스크는 포토레지스트 폴리머이므로, 에칭 및 스트립이 수행되는 동일한 챔버 내에서 측벽층의 증착이 인-시츄 (in-situ) 로 수행될 수도 있고, 스트립이 마스크 및 측벽층 모두를 제거할 수도 있다.
도 5 는 마스크 상으로 측벽층 형성의 더욱 상세한 흐름도이고 (단계 112, 312, 및 332), 이는, 가스 모듈레이션을 이용한다. 이 실시형태에서, 마스크 상으로 측벽층을 형성하는 것 (단계 112, 312, 및 332) 은 증착 단계 (504) 및 프로파일 형상화 단계 (508) 를 포함한다. 증착 단계는 마스크의 측벽 상으로 측벽층을 증착하며 플라즈마를 형성하기 위한 제 1 가스 화학을 이용한다. 프로 파일 형상화 단계 (508) 는, 증착의 프로파일을 형상화하며 플라즈마를 형성하기 위한 제 1 가스 화학과는 다른 제 2 가스 화학을 이용한다.
본 발명은 유전체층 또는 도전성층을 에칭하기 위해 이용될 수도 있다. 유전체층 또는 도전성층에 대해 본 발명을 수행하도록 이용될 수도 있는 실시예의 방법이 이하 제공된다.
실시예 프로세스
본 발명의 일 실시예에서, 에칭층 (208) 은 유전체층이다. 유전체층 (208) 상부로 포토레지스트 마스크가 형성된다 (단계 104). 그 후, 기판 (204) 은 플라즈마 프로세싱 챔버 내부에 위치된다. 도 6 은 측벽층의 증착, 에칭, 및 스트립에 이용될 수도 있는 플라즈마 프로세싱 챔버 (600) 의 개략도이다. 플라즈마 프로세싱 챔버 (600) 는 컴파인먼트 링 (602; confinement ring), 상부 전극 (604), 하부 전극 (608), 가스 소스 (610), 및 배출 펌프 (620) 를 포함한다. 가스 소스 (610) 는 증착 가스 소스 (612), 및 프로파일 형상화 가스 소스 (616) 를 포함한다. 가스 소스 (610) 는, 에칭 가스 소스 (618) 와 같은, 추가적인 가스 소스를 포함할 수도 있다. 플라즈마 프로세싱 챔버 (600) 에서, 기판 (204) 은 하부 전극 (608) 상에 위치된다. 하부 전극 (608) 은 기판 (204) 을 유지하기 위한 적절한 기판 처킹 메커니즘 (예를 들어, 정전기, 기계적 클램핑 등) 을 통합하고 있다. 리액터 상부 (628) 는 하부 전극 (608) 에 바로 대면하여 배치된 상부 전극 (604) 을 통합하고 있다. 상부 전극 (604), 하부 전극 (608), 및 컴파인먼트 링 (602) 은 한정된 플라즈마 체적을 정의한다. 가스 소 스 (610) 에 의해 한정된 플라즈마 체적으로 가스가 공급되고, 이 가스는 배출 펌프 (620) 에 의해 배출 포트 및 컴파인먼트 링 (602) 을 통해서 한정된 플라즈마 체적으로부터 배출된다. 제 1 RF 소스 (644) 는 상부 전극 (604) 에 전기적으로 접속된다. 제 2 RF 소스 (648) 는 하부 전극 (608) 에 전기적으로 접속된다. 챔버벽 (652) 은 컴파인먼트 링 (602), 상부 전극 (604), 및 하부 전극 (608) 을 둘러싼다. 제 1 RF 소스 (644) 및 제 2 RF 소스 (648) 모두는 고주파수 (27 내지 300) MHz 전원 및 저주파수 (2 내지 14) MHz 전원을 포함할 수도 있다. RF 전원을 전극으로 접속시키는 상이한 결합이 가능하다. 제어기 (635) 는 RF 소스 (644, 648), 배출 펌프 (620), 및 가스 소스 (610) 에 제어가능하게 접속된다.
도 7a 및 도 7b 는 본 발명의 실시형태에 이용된 제어기 (635) 를 구현하기 위해 적절한 컴퓨터 시스템 (1300) 을 도시한다. 도 7a 는 컴퓨터 시스템의 일 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 보드, 및 포켓용 디바이스부터 거대한 수퍼 컴퓨터까지 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (1300) 은 모니터 (1302), 디스플레이 (1304), 하우징 (1306), 디스크 드라이브 (1308), 키보드 (1310), 및 마우스 (1312) 를 포함한다. 디스크 (1314) 는 데이터를 컴퓨터 시스템 (1300) 로 전송하고, 컴퓨터 시스템 (1300) 으로부터 데이터를 전송하도록 사용된 컴퓨터 판독가능 매체이다.
도 7b 는 컴퓨터 시스템 (1300) 에 대한 블록도의 일 예이다. 다양한 서 브시스템이 시스템 버스 (1320) 에 결속된다. 프로세서(들) (1322) (또한, 중앙 프로세싱 유닛, 또는 CPU 로 지칭됨) 은 메모리 (1324) 를 포함하는 저장 디바이스에 연결된다. 메모리 (1324) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 을 포함한다. 당업계에 공지된 바와 같이, ROM 은 CPU 로 데이터 및 인스트럭션을 단-방향으로 전송하도록 기능하고, RAM 은 양-방향의 방법으로 데이터 및 인스트럭션을 전송하도록 일반적으로 이용된다. 메모리의 이러한 종류 또는 다른 종류의 메모리 모두는 이하 설명되는 컴퓨터-판독가능 매체의 임의의 적절한 형태를 포함할 수도 있다. 또한, 고정 디스크 (1326) 는 CPU (1322) 에 양-방향으로 연결되고, 이는 추가적인 데이터 저장 용량을 제공하며, 또한, 이하 설명되는 임의의 컴퓨터-판독가능 매체를 포함할 수도 있다. 고정 디스크 (1326) 는 프로그램, 데이터 등을 저장하도록 이용될 수도 있고, 통상적으로, 주기억 장치 (primary storage) 보다 느린 (하드 디스크와 같은) 보조 저장 매체이다. 고정 디스크 (1326) 내에 보유된 정보는 적절한 경우에 메모리 (1324) 내의 가상 메모리와 같은 표준 방법으로 통합될 수도 있다고 여겨진다. 탈착가능 디스크 (1314) 는 이하 설명되는 임의의 컴퓨터-판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (1322) 는 디스플레이 (1304), 키보드 (1310), 마우스 (1312), 및 스피커 (1330) 와 같은 입/출력 디바이스, 및 프로세스 제어를 위한 피드백 및 포워드 시스템에 연결된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉-감지 디스플레이, 변환 카드 판독기, 자기 또는 종이 테이프 판독기, 타블렛, 스타일러스, 음성 또는 필체 인식기, 생체 판독기, 또는 다른 컴퓨터일 수도 있다. CPU (1322) 는 네트워크 인터페이스 (1340) 를 사용하여 다른 컴퓨터 또는 전기통신 네트워크에 부가적으로 연결될 수도 있다. 이러한 네트워크 인터페이스를 통해서, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 상기한 방법 단계를 수행하는 코스로 네트워크에 정보를 출력할 수도 있다는 것이 예상된다. 또한, 본 발명의 방법 실시형태는 CPU (1322) 상에서 단독으로 실행할 수도 있거나, 또는, 프로세싱의 일부를 공유하는 원격 CPU 와 관련된 인터넷과 같은 네트워크상에서 실행할 수도 있다.
또한, 본 발명의 실시형태는 다양한 컴퓨터 구현 동작을 수행하기 위한 컴퓨터 코드를 가지는 컴퓨터-판독가능 매체를 수록한 컴퓨터 저장 제품에 관한 것이다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것일 수도 있거나, 또는, 컴퓨터 소프트웨어 업계의 당업자에게는 잘 공지되고 이용가능한 종류의 것 일 수도 있다. 컴퓨터-판독가능 매체의 예는: 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 광자기 매체; 및 ASIC (application-specific integrated circuit), 프로그램가능 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같은 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만, 이에 한정하는 것은 아니다. 컴퓨터 코드의 예는 컴파일러에 의해 제조된 것과 같은 기계 코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 상위 레벨 코드를 함유하는 파일을 포함한다. 또한, 컴퓨터 판 독가능 매체는 반송파에 채용된 컴퓨터 데이터 신호에 의해 전송되고, 프로세서에 의해 실행가능한 인스트럭션의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다. 다른 예는 다른 증착 디바이스를 이용할 수도 있다.
마스크를 측면으로 에칭하기 위한 방법 (단계 108, 308, 및 32) 의 일 예는 1 내지 300mT 의 에칭 챔버 또는 플라즈마 프로세싱 챔버 압력을 제공한다. 50 내지 800 Watt 의 전력이 27MHz 의 플라즈마 프로세싱 챔버로 공급된다. CF4 의 0 내지 200sccm 및 O2 의 5 내지 30sccm 이 플라즈마 프로세싱 챔버로 제공된다. 더욱 상세하게는, 마스크를 측면으로 에칭하기 위한 압력은 20 내지 150mT 사이에 있다.
증착 단계 (504) 의 일 예는 터보 펌프의 Vat 밸브를 1000 으로 설정함으로써 확립된, 60mTorr 의 압력에서 250sccm (standard cubic centimeters per minute) 의 Ar 및 50sccm 의 CH3F 의 화학을 이용하는 CH3F 증착일 수도 있다. 27MHz RF 소스는 500Watt 의 전력을 제공하고, 2MHz RF 소스는 100Watt 의 전력을 제공한다. 챔버 온도는 20℃ 로 유지된다. 기판을 냉각하기 위한 헬륨 냉각 압력은 15 Torr 이다. 이러한 방법은 폴리머 측벽층의 형성을 유발한다.
프로파일 형상화 단계 (508) 의 일 예는 터보 펌프의 Vat 밸브를 1000 으로 설정함으로써 확립된, 50mTorr 의 압력에서 270sccm 의 Ar, 12sccm 의 C4F6, 8sccm 의 O2, 및 100sccm 의 CO 의 화학을 이용하는 C4F6/O2/CO 증착일 수도 있다. 27MHz RF 소스는 1500Watt 의 전력을 공급하고, 2MHz RF 소스는 480Watt 의 전력을 공급한다. 챔버 온도는 20℃ 로 유지된다. 기판을 냉각시키기 위한 헬륨 냉각 압력은 15 Torr 이다.
도 8 의 A 는 증착 단계에서의 증착층 (820) 의 단면도이다. 증착층 (820) 은 마스크 (812) 상부에 형성된다. 이 예에서, 증착 단계는 아웃라인 (824) 으로 표시된 바와 같이 "브레드-로핑" 증착층을 형성한다. 브레드-로핑 증착층은 피쳐의 상면에 가까운 더욱 두꺼운 측벽 증착 및 피쳐의 저면에 가까운 더욱 얇은 (또는 거의 없는) 측벽 증착으로 특징화된다. 또한, 도시된 바와 같이, 이 예에서 브레드-로핑은 피쳐의 매우 저면 표면에 층을 형성한다. 따라서, 이 증착은 비등각의 측벽 증착을 제공한다. 이러한 증착은 소정의 실질적으로 수직인 측벽을 제공하지 않는다. 결과적으로, 브레드-로핑은 상면을 절단하고, 다음으로, 접촉이 폐쇄되고 어떠한 에칭도 행해질 수 없기 때문에, 마스킹층으로서 이용될 수 없다.
도 8 의 B 는, 프로파일 형상화 단계만이 이용된 증착층 (830) 의 단면도이다. 이 예에서, 프로파일 형상화 단계는 아웃라인 (834) 으로 표시된 바와 같이 "패시팅" 증착층을 형성한다. 패시팅 증착층은 피쳐의 상면과 가까운 더욱 얇은 (또는 거의 없는) 측벽 증착 및 피쳐의 저면에 가까운 더 두꺼운 측벽 증착으로 특징화된다. "패시팅" 증착은 피쳐의 매우 저면 표면에 증착하지 않는다. 따라서, 이 증착도 비등각 측벽 증착을 제공한다. 상면에 가까운 측벽이 너무 얇은 경우, 포토레지스트 마스크의 패시팅이 초래될 수도 있다. 이러한 증착은 소정의 실질적인 수직의 측벽을 제공하지 않는다. 포토레지스트 마스크 코너의 패시팅은 낮은 에칭 선택도 및 빠른 마스크 부식을 유발할 수도 있다. 또한, 마스크의 패시팅은 에칭된 프로파일의 패시팅을 초래한다. 거의 모든 경우에, 마스크가 패시팅된 후, 일반적으로, 마스크 수직 프로파일이 에칭된 물질로 변형되기 때문에, 최종 에칭된 프로파일이 패시팅된다.
도 8 의 C 는 2 초의 증착 및 25 초의 프로파일 형상의 6 개의 주기에 의해 형성된 증착층 (840) 의 단면도이다. 도시된 바와 같이, 증착층은 피쳐의 수직의 측벽 및 피쳐의 하부 표면에 약간의 또는 거의 없는 증착을 가진다. 다중 상의 6 주기 프로세스를 제공하기 위해, 가스 방법을 빠르게 대체할 수 있는 가스-모듈레이션 디바이스가 바람직한 장치이다.
증착 단계 (504) 와 프로파일 형상화 단계 (508) 의 횟수의 비율을 제어하는 능력은 다른 제어 변수를 제공한다. 도 8c 에 도시된 바와 같이, 적절한 비율은 실질적으로 수직하고 등각인 측벽을 제공한다. 또한, 이러한 증착층은 에칭 선택도를 증가시키기 위한 포토레지스트 마스크를 보호할 수 있다. 증착 프로파일을 제어하도록 이용될 수 있는, 본 발명에 의해 제공된 다른 제어 파라미터는 주기의 수, 전체 증착 시간, 증착/형상화 단계 시간 비율, 가스 화학 종류, 및 비율이다. 본 발명에 이해 이용될 수도 있는 가스 화학은 (CH3F/O2, C4F6/O2, CH2F2, CHF3, CF4 와 같은) O2 을 가지거나 또는 가지지 않는 CxHyFz 또는 CxFy 일 수도 있거나, 또는 H2, CH4, C2H4, SiH4 등일 수도 있다. 바람직하게는, 증착 단계는 탄화수소 및 플루오르화 탄소의 화학을 이용한다. 바람직하게, 탄화수소는 CH4 및 C2H4 중 적어도 하나이다. 플루오르화 탄소는 CH3F, CH2F2, CHF3, C4F6, 및 C4F8 중 적어도 하나인 것이 바람직하다. 증착 단계 도중에 이용되는 것이 바람직할 수도 있는 다른 가스 혼합물은 CF4 및 H2 이다. 바람직하게는, 프로파일 형상화 단계는 다른 첨가제를 가지거나 또는 가지지 않는 플루오르화 탄소의 화학을 이용한다. 바람직하게는, 플루오르화 탄소는 CH2F2, CHF3, 및 CF4 중 적어도 하나이다. 바람직하게는, 다른 첨가제는 Ar, Xe, He, Ne, O2, N2, 및 H2 중 적어도 하나이다.
복합적인 단계의 증착은 원하지 않는 증착을 제거하기 위해 프로파일 형상화 단계를 허용한다. 또한, 단일의 긴 증착은 블리스터링 (blistering) 을 유발할 수도 있다. 바람직하게는, 프로파일 형상화 단계는 이온으로 폴리머에 충격을 가함으로써 그 폴리머의 밀도를 높인다. 또한, 증착층을 형성하기 위한 복합적인 주기를 이용하는 것은 정밀한 CD 제어를 제공한다. 또한, 복합 주기 복합적인 단계의 증착은 프로파일 제어를 제공한다. 브레드 로핑의 감소는 에칭 프로파일을 개선시키는 쉐이딩을 감소시킨다. 또한, 복합 주기, 복합적인 단계의 증착은 증착층의 응력에 의해 야기되고, 포토레지스트 라인을 위글링하도록 유발하는 라인 위글링을 감소시킨다. 또한, 프로파일 형상화 단계는 증착으로부터의 잔여물을 예방하기 위해 마스크 피쳐의 하부 상의 증착을 예방 또는 감소시키고, 여기서, 이 잔여물은 마스크 피쳐의 하부에 있는 증착층으로부터 재스퍼터링된 물 질에서 나온 것이다.
바람직하게, 증착층을 형성하는데 있어서 2 주기 이상의 주기가 이용된다. 더욱 바람직하게, 증착층을 형성하는데 있어서 6 주기 이상의 주기가 이용된다. 몇몇 실시형태는 12 이상의 주기를 이용한다.
다른 실시형태는 마스크에 대해 하드 마스크를 이용할 수도 있다. 이러한 실시형태에서, 포토레지스트 마스크는 하드마스크를 개방하기 위해 이용될 수도 있다. 측벽층은 라인 위글링, 라인 에지 거칠기, 및 스트리에이션을 감소시키기 위해 포토레지스트 마스크 상에 위치될 수도 있다.
마스크 내의 스페이스는 에칭층 내의 홀 또는 트렌치를 에칭하도록 이용될 수도 있다.
본 발명은 Exelan 및 TCP 유형 에칭기, 하이브리드 PVD, CVD, MW, RIE, MORIE, TCP, ICP 등과 같은 플라즈마 프로세싱 툴의 많은 다양한 유형에 이용될 수도 있다.
이해를 용이하게 하기 위해, 도 9 는 본 발명의 일 실시형태에 이용될 수도 있는 프로세스의 높은 레벨 흐름도이다. 패터닝된 포토레지스트 마스크가 제공된다 (단계 904). 도 10a 는 기판 (1004) 상부에 에칭되는 층 (1008) 의 개략 단면도이고, 여기서 에칭되는 층 (1008) 상의 ARL (1010) 상에 포토레지스트 피쳐 (1014) 를 가지는 패터닝된 포토레지스트 마스크 (1012) 이 적층체 (1000) 를 형성하고 있다. 포토레지스트 마스크는 가장 작은 가능한 피쳐의 폭의 가장 넓은 부분일 수도 있는 포토레지스트 피쳐 CD 를 갖는다. 패터닝된 포토레지스트 마 스크를 제공하기 위해, 에칭되는 층 상으로 먼저 포토레지스트층이 형성될 수도 있다. 다음으로, 포토레지스트층이 포토레지스트 측벽을 가지는 포토레지스트 피쳐를 형성하기 위해 패터닝된다. 도 10b 는 포토레지스트 마스크 (1012) 의 평면도이다. 일반적으로, 리소그래피와 같은 제조 프로세스는 불규칙적으로 형성된 피쳐 (1014) 를 야기할 수도 있다. 점선 라인의 원 (1016) 은 포토레지스트 마스크 (1012) 가 제공된 레티클 패턴을 도시한다. 도시된 바와 같이, 실질적인 포토레지스트 개구는 피크 (1020; peak) 및 밸리 (1024; valley) 를 가지는 측벽을 가질 수도 있다. 원래의 레티클 패턴, 이 경우 원으로부터 파생되고, 측벽을 따라서 약간의 길이에 대해 종종 연장하는 불규칙한 형상을 야기하는 이들 피크 (1020) 및 밸리 (1024) 는 스트리에이션으로 지칭된다. 도시된 바와 같이, 포토레지스트 피쳐는 직경 Sp 를 가진다.
포토레지스트 피쳐가 확대된다 (단계 908). 일 예에서, 포토레지스트 피쳐가 확대된 이후의 적층체의 단면도인 도 10c 에 도시된 바와 같이, 포토레지스트 피쳐 (1014) 의 스페이스 (1024) 가 에칭 이전의 포토레지스트 피쳐의 폭 "Sp" 보다 큰 폭 "Se" 을 가지기 때문에, 포토레지스트 피쳐는 측면으로 에칭하는 것을 이용하여 확대된다. 도 10d 는 도 10c 의 포토레지스트 마스크 (1012) 의 평면도이다. 상세한 설명 및 특허청구범위에서, 측면 에칭은 피쳐를 형성하는 마스크의 측면 에칭으로서 정의되고, 여기서, 측면 에칭은 포토레지스트 피쳐 폭의 크기를 확대시킨다. 트렌치 마스크에 대해, 이러한 측면 에칭은 트렌치 마스크의 트리 밍일 수도 있다. 또한, 이러한 측면 에칭은 마스크의 두께를 축소시킬 수도 있다. 바람직하게는, 측면 에칭은 포토레지스트 층 (1012) 하부에서 층을 에칭하지 않는다. 외부 점선 원 (1017) 은 측면 에칭 이후에 목표 피쳐 형상을 표시한다. 본 발명의 다른 실시형태는 포토레지스트 피쳐를 확대하지 않거나 또는 스트리에이션 감소 이후에 확대를 제공하지 않는다.
스트리에이션이 감소된다 (단계 912). 도 11 은 이 단계의 더욱 상세한 흐름도이다. 도 11 에 도시된 바와 같이, 스트리에이션의 감소는 스트리에이션 피크를 에치 백하고 포토레니스트 피쳐의 측벽 상에 증착하는 단계를 포함하는 주기적인 프로세스의 일 주기 이상을 포함한다. 도 10e 는 스트리에이션 피크 (1020) 의 재 에칭 (단계 1104) 이후의 포토레지스트 층 (1012) 의 평면도를 나타낸다. 이론에 속하지 않고, 특정의 에칭 방법은 스트리에이션 피크를 선택적으로 에칭한다고 열려져 있다. 도 10e 에 도시된 바와 같이, 몇몇 스트리에이션 피크가 감소된다.
도 10f 는 측벽 상에 증착한 이후 (단계 1108) 에 포토레지스트층 (1012) 의 평면도를 나타낸다. 도 10g 는 스트리에이션 피크를 에치 백하고 (단계 1104) 및 측벽 상에 증착하는 (단계 1108) 복수의 주기 이후의 포토레지스트 층 (1012) 의 평면도이다. 도시된 바와 같이, 스트리에이션 피크 (1020) 는 크게 감소되고, 스트리에이션 밸리 (1024) 는 현저하게 충진되며, 따라서 전반적인 스트리에이션은 현저하게 감소된다.
다음으로, 도 10h 에 도시된 바와 같이, 피쳐 (1028) 는 포토레지스트 마스 크 (1012) 를 통해서 에칭층 (1008) 으로 에칭된다 (단계 916). 그 후, 도 10i 에 도시된 바와 같이, 포토레지스트 마스크 (1012) 가 제거된다.
실시예
이 프로세스의 일 예에서, 패터닝된 포토레지스트층이 형성된다 (단계 904). 에칭층 (1008), ARC 층 (1010), 및 패터닝된 포토레지스트 마스크 (1012) 와 함께 기판 (1004) 은 에칭 챔버 내에 위치된다.
도 12 는 포토레지스트 피쳐를 확대하고, 스트리에이션을 감소시키고, 에칭하고, 스트립하기 위해 이용될 수도 있는 프로세싱 챔버 (1200) 의 개략도이다. 플라즈마 프로세싱 챔버 (1200) 는 컴파인먼트 링 (1202), 상부 전극 (1204), 하부 전극 (1208), 가스 소스 (1210), 및 배출 펌프 (1220) 를 포함한다. 가스 소스 (1210) 는 증착 가스 소스 (1212) 및 에치 백 가스 소스 (1216) 를 포함한다. 가스 소스 (1210) 는 에칭 가스 소스 (1218) 와 같은 추가적인 가스 소스를 포함할 수도 있다. 플라즈마 프로세싱 챔버 (1200) 에서, 기판 (1004) 은 하부 전극 (1208) 상에 위치된다. 하부 전극 (1208) 은 기판 (1004) 을 유지하기 위해 적절한 기판 처킹 메커니즘 (예를 들어, 정전기, 기계적 클램핑 등) 을 통합하고 있다. 리액터 상부 (1228) 는 하부 전극 (1208) 에 바로 대면하여 배치된 상부 전극 (1204) 을 통합하고 있다. 상부 전극 (1204), 하부 전극 (1208), 및 컴파인먼트 링 (1202) 은 한정된 플라즈마 체적을 정의한다. 가스 소스 (1210) 에 의해 가스가 한정된 플라즈마 체적으로 공급되고, 이 가스는 배출 펌프 (1220) 에 의해 배출 포트 및 컴파인먼트 링 (1202) 을 통해서 한정된 플라즈마 체적으로 부터 배출된다. 제 1 RF 소스 (1244) 는 상부 전극 (1204) 에 전기적으로 접속된다. 제 2 RF 소스 (1248) 는 하부 전극 (1208) 에 전기적으로 접속된다. 챔버벽 (1252) 은 컴파인먼트 링 (1202), 상부 전극 (1204), 및 하부 전극 (1208) 을 둘러싼다. 제 1 RF 소스 (1244) 및 제 2 RF 소스 (1248) 모두는 27MHz 전원 및 2MHz 전원을 포함할 수도 있다. 전극으로 RF 전원을 접속하는 상이한 결합이 가능하다. 본 발명의 바람직한 실시형태에 이용될 수도 있는, Fremont, California 에 있는 LAM Research CorporationTM 가 제조한 Lam Research Corporation 의 DFC (Dual Frequency Capacitive) 시스템에서, 27MHz 전원 및 2MHz 전원 모두가 하부 전극에 접속되고 제 2 RF 전원 (1248) 을 구성하며, 상부 전극은 접지된다. 제어기 (1235) 는 RF 소스 (1244, 1248), 배출 펌프 (1220), 및 가스 소스 (1210) 에 제어 가능하게 접속된다. DFC 시스템은, 에칭되는 층 (1008) 이 실리콘 산화물, OSG (organo silicate glass) 또는 유기절연막과 같은 유전체층이다.
포토레지스트 마스크 피쳐가 확대된다 (단계 908). 이러한 확대 프로세스는, 예를 들어, CF4, H2, NF3, CxHyFz, 및 O2 중 적어도 하나의 확대 가스를 이용한다. 마스크 피쳐 확대에 대한 방법의 일 예에서는, 에칭 챔버 또는 1 내지 300mT 의 플라즈마 프로세싱 챔버 압력을 제공한다. 27MHz 의 플라즈마 프로세싱 챔버에는 50 내지 800Watt 의 전력이 공급된다. 플라즈마 프로세싱 챔버에 0 내지 200sccm 의 CF4 및 5 내지 300sccm 의 O2 가 제공된다. 더욱 바람직하게 는, 마스크를 측면으로 에칭하기 위한 압력은 20 내지 150mT 사이에 있다.
스트리에이션이 감소된다 (단계 912). 도 11 에 도시된 주기의 복합의 주기를 이용하여, 스트리에이션 피크를 에치 백하는 단계 (단계 1104) 에 대한 일 예의 방법은 100sccm 의 CF4 와 같은 가스를 함유하는 할로겐 (즉, 플루오르, 브롬, 염소) 을 제공한다. 이 예에서, CF4 는 에치 백하는 동안 제공된 가스이다. 20mTorr 의 압력은 챔버로 공급된다. 제 2 RF 소스 (448) 는 27MHz 의 주파수에서 600Watt 및 2MHz 의 주파수에서 0Watt 를 제공한다.
측벽상에 증착하는 단계 (단계 1108) 의 일 예는 150sccm 의 CH3F, 75sccm 의 N2, 및 100sccm 의 Ar 의 흐름을 제공한다. 압력은 80mTorr 으로 설정된다. 기판은 20℃ 의 온도에서 유지된다. 제 2 RF 소스 (448) 는 27MHz 주파수에서 400Watt 및 2MHz 주파수에서 0Watt 를 제공한다.
다음으로, 피쳐는 에칭층으로 에칭된다 (단계 916). 에칭되는 층의 일 예는 SiN, SiC, 산화물, 또는 저유전율 유전체와 같은 종래의 에칭층일 수도 있다. 종래의 에칭 방법이 에칭되는 층을 에칭하도록 이용될 수도 있다.
마스크를 제거하기 위해 (단계 920), 산소 애싱이 이용될 수도 있다.
도시된 바와 같이, 본 발명의 바람직한 실시형태에서, 포토레지스트 피쳐의 확대, 스트리에이션의 감소, 에칭층으로 피쳐의 에칭은 동일한 에칭 챔버 내에서 인-시츄로 수행된다. 바람직하게는, 스트리에이션을 감소하는 것은 3 주기 이상으로 수행된다. 더욱 바람직하게는, 스트리에이션을 감소하는 것은 5 주기 이상으로 수행된다.
바람직하게는, 증착은 포토레지스트 피쳐의 측벽상에 탄화수소 및 플루오르화 탄소 중 적어도 하나의 증착을 유발하는 탄화 수소 및 플루오르화 탄소 중 적어도 하나를 함유하는 가스를 공급한다.
본 발명은 몇몇 바람직한 실시형태에 대해 설명되고, 본 명세서에는 본 발명의 범위에서 벗어나지 않는 대안, 변경, 변형, 및 다양한 치환 동등물이 있다. 또한, 본 발명의 방법 및 장치를 구현하는 수많은 방법이 명시된다. 따라서, 이하 첨부된 특허청구범위는 본 발명의 정신 및 범위 내에서 이러한 대안, 변경, 변형, 및 다양한 치환 동등물을 모두 포함하도록 의도된다.

Claims (38)

  1. 에칭층 상부에 제 1 마스크를 형성하는 단계로서, 상기 제 1 마스크는 폭을 가지는 복수의 스페이스를 정의하는, 상기 제 1 마스크 형성 단계;
    상기 제 1 마스크를 측면으로 에칭하는 단계로서, 상기 에칭된 제 1 마스크는 폭을 가지는 복수의 스페이스를 정의하고, 상기 에칭된 제 1 마스크의 스페이스의 폭은 상기 제 1 마스크의 스페이스의 폭 보다 큰, 상기 제 1 마스크의 측면 에칭 단계;
    상기 에칭된 제 1 마스크 상부에 측벽층을 형성하는 단계로서, 상기 측벽층은 상기 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭 보다 작은 폭을 가지는 복수의 스페이스를 정의하는, 상기 에칭된 제 1 마스크 상부에 측벽층을 형성하는 단계;
    상기 측벽층을 통해서 상기 에칭층에 피쳐를 에칭하는 단계로서, 상기 피쳐는 상기 에칭된 제 1 마스크에 의해 정의된 스페이스의 폭 보다 작은 폭을 가지는, 상기 측벽층을 통해서 상기 에칭층에 피쳐를 에칭하는 단계; 및
    상기 마스크 및 측벽층을 제거하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 에칭된 제 1 마스크 상부에 측벽층을 형성하는 단계는:
    상기 제 1 마스크의 측벽 상부에 증착을 형성하기 위해 증착 플라즈마를 형성하기 위한 제 1 가스 화학에 의한 증착 단계; 및
    상기 제 1 마스크의 측벽 상부에 상기 증착의 프로파일을 형상화하기 위해 제 2 가스 화학에 의한 프로파일 형상화 단계를 포함하는 1 주기 이상이고,
    상기 제 1 가스 화학은 상기 제 2 가스 화학과 상이한, 에칭층에 피쳐를 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 에칭된 제 1 마스크 상부에 측벽층을 형성하는 단계는 2 주기 이상으로 수행되는, 에칭층에 피쳐를 형성하는 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 측벽층을 형성하는 단계는 실질적으로 수직인 측벽을 형성하는, 에칭층에 피쳐를 형성하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 에칭층을 플라즈마 프로세싱 챔버 내에 배치시키는 단계를 더 포함하고,
    상기 제 1 마스크를 측면으로 에칭하는 단계, 상기 측벽층을 형성하는 단계, 및 피쳐를 에칭하는 단계가 상기 플라즈마 프로세싱 챔버 내부에서 수행되는, 에칭 층에 피쳐를 형성하는 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 마스크는 포토레지스트 마스크이고,
    상기 측벽층은 비정질 탄소 재료 및 폴리머 재료 중 하나 이상으로 형성되는, 에칭층에 피쳐를 형성하는 방법.
  7. 제 6 항에 있어서,
    단일 스트립 단계에 의해 상기 포토레지스트 마스크 및 측벽층을 스트립하는 단계를 더 포함하는, 에칭층에 피쳐를 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 포토레지스트 마스크 및 측벽층을 스트립하는 단계는 상기 포토레지스트 마스크 및 측벽층을 애싱하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 포토레지스트 마스크 및 측벽층을 애싱하는 단계는 상기 측벽층을 형성하고 에칭하는 플라즈마 프로세싱 챔버와 동일한 플라즈마 프로세싱 챔버 내에서 수행되는, 에칭층에 피쳐를 형성하는 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 제 1 마스크는 비아 마스크이고, 상기 에칭 피쳐는 상기 에칭층에 비아를 에칭하며,
    상기 에칭층 상부에 트렌치 마스크를 형성하는 단계;
    상기 비아의 측벽 및 상기 트렌치 마스크 상부의 측벽층을 형성하는 단계;
    상기 트렌치 마스크를 통해서 상기 측벽층에 트렌치들을 에칭하는 단계; 및
    상기 트렌치 마스크 및 측벽층을 제거하는 단계를 더 포함하는, 에칭층에 피쳐를 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 트렌치 마스크 상부에 측벽층을 형성하는 단계 이전에 상기 트렌치 마스크를 측면으로 에칭하는 단계를 더 포함하는, 에칭층에 피쳐를 형성하는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 마스크는 포토레지스트 마스크이고,
    상기 제 1 마스크에 의해 정의된 복수의 스페이스들은 피크와 밸리를 형성하는 스트리에이션의 측벽을 가지는 복수의 마스크 피쳐를 형성하고,
    상기 제 1 마스크를 측면으로 에칭하는 단계 및 상기 에칭된 제 1 마스크 상부에 상기 측벽층을 형성하는 단계는 상기 복수의 마스크 피쳐의 측벽의 스트리에 이션을 감소시키기 위한 주기를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  13. 제 12 항에 있어서,
    상기 측벽의 스트리에이션을 감소시키는 단계는 3 주기 이상 동안 수행되는, 에칭층에 피쳐를 형성하는 방법.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 측면으로 에칭하는 단계는 상기 마스크 피쳐의 측벽의 상기 스트리에이션에 의해 형성된 피크를 선택적으로 에치 백하는, 에칭층에 피쳐를 형성하는 방법.
  15. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 측벽층을 형성하는 단계는 상기 스트리에이션에 의해 형성된 밸리를 채우는, 에칭층에 피쳐를 형성하는 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 제 1 마스크를 측면으로 에칭하는 단계는:
    할로겐 함유 가스를 공급하는 단계; 및
    상기 할로겐 함유 가스로부터 플라즈마를 형성하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 측벽층을 형성하는 단계는 상기 마스크 피쳐의 측벽 상에 탄화수소 및 수소화불화탄소 중 하나 이상을 증착하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  18. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 측벽층을 형성하는 단계는:
    상기 탄화수소 및 수소화불화탄소 중 하나 이상을 포함하는 증착 가스를 공급하는 단계; 및
    상기 증착 가스로부터 플라즈마를 형성하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  19. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 측면으로 에칭하는 단계는:
    에칭 가스를 공급하는 단계;
    상기 에칭 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 에칭 가스를 중지시키는 단계를 포함하고,
    상기 측벽층을 형성하는 단계는:
    증착 가스를 공급하는 단계;
    상기 증착 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 증착 가스를 중지시키는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  20. 제 1 항 내지 제 19 항 중 어느 한 항에 기재된 에칭층에 피쳐를 형성하는 방법으로 형성된, 반도체 디바이스.
  21. 에칭층에 복수의 비아를 에칭하는 단계;
    트렌치 포토레지스트 마스크를 형성하는 단계;
    상기 트렌치 마스크의 상부 및 상기 복수의 비아의 측벽상에 측벽층을 형성하는 단계; 및
    상기 측벽층을 통해서 상기 에칭층에 트렌치를 에칭하는 단계를 포함하는, 에칭층에 피쳐를 형성하는 방법.
  22. 제 21 항에 있어서,
    상기 트렌치 마스크 상부 및 상기 복수의 비아의 측벽상에 측벽층을 형성하는 단계는 2 주기 이상의 주기를 포함하는데, 각각의 주기는:
    제 1 마스크의 측벽 상부에 증착을 형성하도록 증착 플라즈마를 형성시키기 위한 제 1 가스 화학에 의한 증착 단계; 및
    상기 제 1 마스크의 측벽 상부에 상기 증착의 프로파일을 형상화시키기 위한 제 2 가스 화학에 의한 프로파일 형상화 단계를 포함하고,
    상기 제 1 가스 화학은 상기 제 2 가스 화학과는 상이한, 에칭층에 피쳐를 형성하는 방법.
  23. 제 21 또는 제 22 항에 있어서,
    상기 에칭층을 플라즈마 프로세싱 챔버 내에 배치하는 단계를 더 포함하고,
    상기 플라즈마 프로세싱 챔버 내부에서 상기 측벽층을 형성하는 단계, 에칭하는 단계 및 상기 마스크 및 측벽층을 제거하는 단계가 행해지는, 에칭층에 피쳐를 형성하는 방법.
  24. 제 21 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 측벽층은 폴리머 재료로 이루어지는, 에칭층에 피쳐를 형성하는 방법.
  25. 제 21 항 내지 제 24 항 중 어느 한 항에 있어서,
    상기 트렌치 마스크 상부 및 상기 복수의 비아의 측벽 상부에 측벽층을 형성하는 단계 이전에 상기 트렌치 포토레지스트 마스크를 측면으로 에칭하는 단계를 더 포함하는, 에칭층에 피쳐를 형성하는 방법.
  26. 에칭층 상부에 피크 및 밸리를 형성하는 스트리에이션의 측벽을 갖는 포토레지스트 피쳐를 갖도록 패터닝된 포토레지스트 마스크를 형성하는 단계;
    각 주기가 상기 포토레지스트 피쳐의 측벽의 스트리에이션에 의해 형성된 피크를 에치 백하는 단계 및 상기 포토레지스트 피쳐의 측벽 상에 증착하는 단계를 포함하는, 하나 이상의 주기를 포함하는 상기 포토레지스트 피쳐의 측벽의 스트리에이션을 감소시키는 단계;
    상기 포토레지스트 피쳐를 통해서 상기 에칭층에 피쳐를 에칭하는 단계; 및
    상기 포토레지스트 마스크를 제거하는 단계를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  27. 제 26 항에 있어서,
    상기 측벽의 스트리에이션을 감소시키는 단계는 3 주기 이상의 주기를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  28. 제 26 항 또는 제 27 항에 있어서,
    상기 피크를 에치 백하는 단계는 상기 포토레지스트 피쳐의 측벽의 상기 스트리에이션에 의해 형성된 피크를 선택적으로 에칭하는, 에칭층에 피쳐를 에칭하는 방법.
  29. 제 26 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 포토레지스트 피쳐의 측벽 상에 증착하는 단계는 상기 포토레지스트 피쳐의 측벽의 상기 스트리에이션에 의해 형성된 밸리를 채우는, 에칭층에 피쳐를 에 칭하는 방법.
  30. 제 26 항 내지 제 29 항 중 어느 한 항에 있어서,
    상기 포토레지스트 피쳐의 측벽의 상기 스트리에이션에 의해 형성된 피크를 에치 백하는 단계는:
    할로겐 함유 가스를 공급하는 단계; 및
    상기 할로겐 함유 가스로부터 플라즈마를 형성하는 단계를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  31. 제 26 항 내지 제 30 항 중 어느 한 항에 있어서,
    상기 포토레지스트 피쳐의 측벽 상에 증착하는 단계는 상기 포토레지스트 피쳐의 측벽 상에 탄화수소 및 수소화불화탄소 중 하나 이상을 증착시키는 단계를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  32. 제 26 항 내지 제 31 항 중 어느 한 항에 있어서,
    상기 포토레지스트 피쳐의 측벽 상에 증착하는 단계는:
    탄화수소 및 수소화불화탄소 중 하나 이상을 포함하는 증착 가스를 공급하는 단계; 및
    상기 증착 가스로부터 플라즈마를 형성하는 단계를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  33. 제 26 항 내지 제 32 항 중 어느 한 항에 있어서,
    상기 측벽층을 통해서 상기 피쳐를 에칭하는 단계 이전에 상기 포토레지스트 피쳐를 확대시키기 위해 상기 포토레지스트 피쳐를 측면으로 에칭하는 단계를 더 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  34. 제 26 항 내지 제 33 항 중 어느 한 항에 있어서,
    상기 스트리에이션을 감소시키는 단계 이전에 상기 포토레지스트 피쳐를 확대시키기 위해 상기 포토레지스트 피쳐를 측면으로 에칭하는 단계를 더 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  35. 제 26 항 내지 제 34 항 중 어느 한 항에 기재된 에칭층에 피쳐를 형성하는 방법에 의해 형성된, 반도체 디바이스.
  36. 피크 및 밸리를 형성하는 스트리에이션의 측벽을 가지는 포토레지스트 피쳐를 갖도록 패터닝된 포토레지스트 마스크 하부에 배치된 에칭층에 피쳐를 에칭하는 방법으로서,
    하나 이상의 주기를 포함하는 상기 포토레지스트 피쳐의 측벽의 스트리에이션을 감소시키는 단계;
    상기 에칭층을 에칭하는 단계; 및
    상기 포토레지스트 마스크를 제거하는 단계를 포함하고,
    상기 각 주기는, 스트리에이션 피크 에치 백 가스를 공급하는 단계;
    상기 스트리에이션 피크 에치 백 가스로부터 플라즈마를 발생시키는 단계;
    상기 스트리에이션 피크 에치 백 가스를 중지시키는 단계;
    포토레지스트 피쳐 측벽 증착 가스를 공급하는 단계;
    상기 포토레지스트 피쳐 측벽 증착 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 포토레지스트 피쳐 측벽 증착 가스를 중지시키는 단계를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  37. 제 36 항에 있어서,
    상기 측벽의 스트리에이션을 감소시키는 단계는 3 주기 이상의 주기를 포함하는, 에칭층에 피쳐를 에칭하는 방법.
  38. 피크 및 밸리를 형성하는 스트리에이션의 측벽을 갖는 포토레지스트 피쳐를 가지는 포토레지스트 마스크 하부로, 에칭층에 피쳐를 에칭하는 장치로서,
    플라즈마 프로세싱 챔버,
    가스 인렛과 유동적으로 접속된 가스 소스; 및
    상기 가스 소스 및 하나 이상의 전극에 제어가능하게 접속된 제어기를 포함하고,
    상기 플라즈마 프로세싱 챔버는:
    플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버벽;
    상기 플라즈마 프로세싱 챔버 인클로져 내에서 기판을 지지하기 위한 기판 지지대;
    상기 플라즈마 프로세싱 챔버 인클로져 내에서 압력을 조절하기 위한 압력 조절기;
    플라즈마를 유지시키기 위해 상기 플라즈마 프로세싱 챔버 인클로져로 전력을 공급하기 위한 하나 이상의 전극;
    상기 플라즈마 프로세싱 챔버 인클로져로 가스를 공급하기 위한 상기 가스 인렛; 및
    상기 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배출시키기 위한 가스 아웃렛을 포함하고,
    상기 가스 소스는:
    스트리에이션 피크 에치 백 가스 소스;
    포토레지스트 피쳐 측벽 증착 가스 소스; 및
    에칭층 에칭 가스 소스를 포함하고,
    상기 제어기는:
    하나 이상의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는:
    상기 포토레지스트 피쳐의 스트리에이션을 감소시키고, 복수의 주기를 포함하는, 컴퓨터 판독가능 코드;
    상기 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드; 및
    상기 포토레지스트 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 포함하는데,
    상기 각각의 주기는:
    상기 스트리에이션 피크 에치 백 가스 소스로부터 스트리에이션 피크 애칭 백 가스를 공급하기 위한 컴퓨터 판독가능 코드;
    상기 스트리에이션 피크 에치 백 가스로부터 플라즈마를 발생시키기 위한 컴퓨터 판독가능 코드;
    상기 스트리에이션 피크 에치 백 가스 소스로부터 상기 스트리에이션 피크 에치 백 가스를 중지시키기 위한 컴퓨터 판독가능 코드;
    상기 포토레지스트 피쳐 측벽 증착 가스 소스로부터 포토레지스트 피쳐 측벽 증착 가스를 공급하기 위한 컴퓨터 판독가능 코드;
    상기 포토레지스트 피쳐 측벽 증착 가스로부터 플라즈마를 발생시키기 위한 컴퓨터 판독가능 코드; 및
    상기 포토레지스트 피쳐 측벽 증착 가스로부터 상기 포토레지스트 피쳐 측벽 증착 가스를 중지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 에칭층에 피쳐를 에칭하는 장치.
KR1020077022854A 2005-03-08 2006-03-02 에칭 프로세스를 위한 안정화된 포토레지스트 구조 KR101274382B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/076,087 US7241683B2 (en) 2005-03-08 2005-03-08 Stabilized photoresist structure for etching process
US11/076,087 2005-03-08
US11/223,363 US7491647B2 (en) 2005-03-08 2005-09-09 Etch with striation control
US11/223,363 2005-09-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137001215A Division KR101338841B1 (ko) 2005-03-08 2006-03-02 에칭 프로세스를 위한 안정화된 포토레지스트 구조

Publications (2)

Publication Number Publication Date
KR20070116076A true KR20070116076A (ko) 2007-12-06
KR101274382B1 KR101274382B1 (ko) 2013-06-14

Family

ID=36782308

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137001215A KR101338841B1 (ko) 2005-03-08 2006-03-02 에칭 프로세스를 위한 안정화된 포토레지스트 구조
KR1020077022854A KR101274382B1 (ko) 2005-03-08 2006-03-02 에칭 프로세스를 위한 안정화된 포토레지스트 구조

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137001215A KR101338841B1 (ko) 2005-03-08 2006-03-02 에칭 프로세스를 위한 안정화된 포토레지스트 구조

Country Status (8)

Country Link
US (2) US7491647B2 (ko)
EP (1) EP1856717A2 (ko)
JP (2) JP5070196B2 (ko)
KR (2) KR101338841B1 (ko)
IL (1) IL185743A (ko)
SG (1) SG144148A1 (ko)
TW (1) TWI396938B (ko)
WO (1) WO2006096528A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120094856A (ko) * 2011-02-17 2012-08-27 램 리써치 코포레이션 의사-하드마스크에 대한 위글링 제어
KR20170000361A (ko) * 2015-06-23 2017-01-02 램 리써치 코포레이션 저 거칠기 euv 리소그래피
US9779952B2 (en) 2013-08-27 2017-10-03 Tokyo Electron Limited Method for laterally trimming a hardmask
KR20180016427A (ko) * 2015-06-11 2018-02-14 어플라이드 머티어리얼스, 인코포레이티드 향상된 패터닝을 위한 라인-에지-거칠기 감소를 위한 등각적 스트립퍼블 탄소 막
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7264743B2 (en) 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US7491343B2 (en) 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
KR101025741B1 (ko) * 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
JP5260356B2 (ja) 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
WO2013145509A1 (ja) * 2012-03-27 2013-10-03 シャープ株式会社 ウエハ処理方法、ウエハ処理装置および半導体発光素子の製造方法
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
GB201322931D0 (en) * 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
JP6877290B2 (ja) * 2017-08-03 2021-05-26 東京エレクトロン株式会社 被処理体を処理する方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
JP7320554B2 (ja) * 2021-04-27 2023-08-03 株式会社アルバック エッチング方法

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5378170A (en) 1976-12-22 1978-07-11 Toshiba Corp Continuous processor for gas plasma etching
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JPH0219852A (ja) * 1988-07-07 1990-01-23 Matsushita Electric Ind Co Ltd レジスト処理方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5296410A (en) 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6153490A (en) 1997-07-01 2000-11-28 Texas Instruments Incorporated Method for forming integrated circuit capacitor and memory
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
TWI224557B (en) * 1999-04-26 2004-12-01 United Microelectronics Corp Etching process for low-k organic film
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
DE10101734C2 (de) * 2001-01-16 2003-04-24 Osram Opto Semiconductors Gmbh Verfahren zum Ausbilden einer Ätzmaske auf einem Substrat
TW502300B (en) * 2001-09-28 2002-09-11 Macronix Int Co Ltd Method of reducing pattern spacing or opening dimension
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
JP3878577B2 (ja) * 2003-06-06 2007-02-07 株式会社東芝 半導体装置の製造方法
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7090967B2 (en) 2002-12-30 2006-08-15 Infineon Technologies Ag Pattern transfer in device fabrication
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4538209B2 (ja) 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120094856A (ko) * 2011-02-17 2012-08-27 램 리써치 코포레이션 의사-하드마스크에 대한 위글링 제어
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
US9779952B2 (en) 2013-08-27 2017-10-03 Tokyo Electron Limited Method for laterally trimming a hardmask
KR20180016427A (ko) * 2015-06-11 2018-02-14 어플라이드 머티어리얼스, 인코포레이티드 향상된 패터닝을 위한 라인-에지-거칠기 감소를 위한 등각적 스트립퍼블 탄소 막
KR20220153103A (ko) * 2015-06-11 2022-11-17 어플라이드 머티어리얼스, 인코포레이티드 향상된 패터닝을 위한 라인-에지-거칠기 감소를 위한 등각적 스트립퍼블 탄소 막
KR20170000361A (ko) * 2015-06-23 2017-01-02 램 리써치 코포레이션 저 거칠기 euv 리소그래피

Also Published As

Publication number Publication date
TWI396938B (zh) 2013-05-21
EP1856717A2 (en) 2007-11-21
KR101274382B1 (ko) 2013-06-14
TW200702900A (en) 2007-01-16
US20090121324A1 (en) 2009-05-14
SG144148A1 (en) 2008-07-29
US20060194439A1 (en) 2006-08-31
IL185743A0 (en) 2008-01-06
JP2012151510A (ja) 2012-08-09
IL185743A (en) 2010-12-30
JP5070196B2 (ja) 2012-11-07
US7491647B2 (en) 2009-02-17
WO2006096528A2 (en) 2006-09-14
KR20130025942A (ko) 2013-03-12
JP2008538857A (ja) 2008-11-06
WO2006096528A3 (en) 2006-12-07
KR101338841B1 (ko) 2013-12-06

Similar Documents

Publication Publication Date Title
KR101338841B1 (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
US7241683B2 (en) Stabilized photoresist structure for etching process
KR101534883B1 (ko) 마스크 트리밍
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101257532B1 (ko) 감소된 라인 에지 거칠기를 갖는 피처 에칭
KR101353239B1 (ko) 피치 감소
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
TWI411040B (zh) 使用多重遮罩之特徵關鍵尺寸的減小
KR101516455B1 (ko) Arl 에칭을 이용한 마스크 트리밍
KR101711669B1 (ko) 측벽 형성 공정
TWI353019B (en) Method of preventing damage to porous low-k materi
TWI357094B (en) Reduction of feature critical dimensions
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
KR20080040625A (ko) 임계 치수 감소 및 거칠기 제어
JP2008060565A (ja) 三層レジストによる有機層エッチング
KR101155843B1 (ko) 균일성 제어에 의한 에칭
TWI496196B (zh) 植入光阻之保護層
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee