KR20070097408A - 균일성 제어를 구비한 에칭 - Google Patents

균일성 제어를 구비한 에칭 Download PDF

Info

Publication number
KR20070097408A
KR20070097408A KR1020077007645A KR20077007645A KR20070097408A KR 20070097408 A KR20070097408 A KR 20070097408A KR 1020077007645 A KR1020077007645 A KR 1020077007645A KR 20077007645 A KR20077007645 A KR 20077007645A KR 20070097408 A KR20070097408 A KR 20070097408A
Authority
KR
South Korea
Prior art keywords
wafer
etch
outer edge
layer
around
Prior art date
Application number
KR1020077007645A
Other languages
English (en)
Other versions
KR101155843B1 (ko
Inventor
세이지 가와구치
겐지 다케시타
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070097408A publication Critical patent/KR20070097408A/ko
Application granted granted Critical
Publication of KR101155843B1 publication Critical patent/KR101155843B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

웨이퍼상에 반도체 디바이스를 형성하는 방법이 제공된다. 에칭층은 웨이퍼 위에 형성된다. 포토레지스트 마스크는 에칭층 위에 형성된다. 포토레지스트 마스크는 웨이퍼의 외부 에지 주위에서만 제거되어 웨이퍼의 외부 에지 주위의 에칭층을 노출시킨다. 탄소 및 수소 함유 종을 포함하는 증착 가스가 제공된다. 플라즈마는 증착 가스로부터 형성된다. 폴리머층은 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 증착되고, 이 폴리머는 증착 가스로부터의 플라즈마로부터 형성된다. 포토레지스트 마스크 및 웨이퍼의 외부 에지 주위의 노출된 에지층상에 증착된 폴리머를 소비하며, 에칭층이 포토레지스트 마스크를 통해 에칭된다.
증착, 웨이퍼

Description

균일성 제어를 구비한 에칭{ETCH WITH UNIFORMITY CONTROL}
발명의 배경
본 발명은 반도체-기반 디바이스의 제조에 관한 것이다. 더 상세하게는, 본 발명은 에칭층을 가진 반도체-기반 디바이스를 제조하는 개선된 기술에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 반도체 디바이스의 형상은 웨이퍼에서 공지된 패터닝 및 에칭 프로세스를 사용하여 규정된다. 이들 프로세스들에서, 포토레지스트 (PR) 재료는 웨이퍼상에 증착되고 레티클에 의해 필터링된 광에 노출된다. 레티클은 일반적으로, 이 레티클을 통해 전파하는 광을 차단하는 예시적인 형상 구조로 패터닝된 유리 기판이다.
레티클을 통과한 이후, 광은 포토레지스트 재료의 표면에 접한다. 광은 포토레지스트 재료의 화학 구조를 변화시켜, 개발자가 포토레지스트 재료의 일부를 제거할 수 있다. 포지티브 포토레지스트 재료의 경우에, 노출된 영역은 제거되고, 네거티브 포토레지스트 재료의 경우에, 비노출 영역이 제거된다. 이후, 웨이퍼가 에칭되어, 더 이상 포토레지스트 재료에 의해 보호되지 않는 영역으로부터 언더라잉 재료를 제거하여, 웨이퍼에서 원하는 형상을 규정한다.
여러 세대의 포토레지스트가 알려졌다. 193 nm 포토레지스트 및 157 nm 포토레지스트 및 더 작은 세대의 포토레지스트가 더 작은 디바이스 사이즈 및 증가 된 디바이스 밀도를 제공하기 위해 요구되었다. 193 nm 및 157 nm 포토레지스트는 더 소프트할 수도 있고 폴리머 재료일 수도 있다.
발명의 요약
앞서 말한 목적 및 다른 목적들을 달성하기 위해, 그리고 본 발명의 목적에 따라 웨이퍼 위에 반도체 디바이스를 형성하는 방법이 제공된다. 에칭층은 웨이퍼 위에 형성된다. 포토레지스트 마스크는 에칭층 위에 형성된다. 포토레지스트 마스크는 웨이퍼의 외부 에지 주위에서만 제거되어 웨이퍼의 외부 에지 주위의 에칭층을 노출시킨다. 탄소 및 수소 함유 종을 포함하는 증착 가스가 제공된다. 플라즈마는 증착 가스로부터 형성된다. 폴리머층은 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 증착되고, 이 폴리머는 증착 가스로부터의 플라즈마로부터 형성된다. 포토레지스트 마스크 및 웨이퍼의 외부 에지 주위의 노출된 에지층상에 증착된 폴리머를 소비하며, 에칭층이 포토레지스트 마스크를 통해 에칭된다.
본 발명의 이들 및 다른 특징들이 본 발명의 상세한 설명 및 다음의 도면과 함께 이하 더욱 상세히 설명될 것이다.
도면의 간단한 설명
본 발명은 예시적인 방법으로 설명될 뿐 제한하는 방식이 아니고, 첨부 도면들의 형상에서 동일한 참조 번호들은 유사한 구성 요소들을 가리킨다.
도 1 은 본 발명의 실시형태로 사용된 프로세스의 흐름도이다.
도 2a 내지 도 2d 는 도 1 의 프로세스에 따라 프로세싱된 웨이퍼의 개략적 인 측면도이다.
도 3 은 본 발명의 실시형태에서 사용될 수도 있는 프로세스 챔버의 개략도이다.
도 4a 및 4b 는 제어기로서 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
도 5 는 에칭층을 노출시키기 위해 웨이퍼의 외부 에지 주위에서 포토레지스트 마스크가 제거된 웨이퍼의 상면도이다.
바람직한 실시형태의 상세한 설명
본 발명을 첨부된 도면들에 도시된 바와 같이 본 발명의 일부 바람직한 실시 형태들을 참조하여 상세한 설명에서 설명한다. 다음 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 명확한 상세한 설명이 제시되었다. 그러나, 본 발명은 이러한 명확한 상세한 설명들 일부 또는 모두 없이도 실행될 수도 있음이 당업자에게 명백하다. 다른 예들로, 무익하게 본 발명이 불명료하게 되지 않도록, 잘 알려진 프로세스 단계들 및/또는 구조들은 상세하게 설명하지 않았다.
이해를 돕기 위해, 도 1 은 본 발명의 실시형태에 사용된 프로세스의 하이 레벨 흐름도이다. 에칭층은 웨이퍼 위에 형성된다 (단계 104). 도 2a 는 웨이퍼 (204) 의 외부 에지 (206) 에서의 웨이퍼 (204) 의 단면도이다. 에칭층 (208) 은 웨이퍼 (204) 위에 형성된다 (단계 104). 에칭층은 도전층 또는 유전층일 수도 있다. 포토레지스트 마스크 (212) 는 에칭층 (208) 위에 형성된다 (단계 108). 이 실시형태에서, BARC 와 같은 반사 방지 코팅 (ARC; 210) 이, 포토레지스트 마스크 (212) 가 형성되기 이전에, 에칭층 (208) 위에 배치되어, ARC (210) 가 에칭층 (208) 과 포토레지스트 마스크 (212) 사이에 존재한다. 다른층들이 에칭층 (208) 과 포토레지스트 마스크 (212) 사이에 존재할 수도 있다.
도 2b 에 도시된 바와 같이, 웨이퍼의 외부 에지 주위의 포토레지스트 마스크가 제거되어 (단계 112), 웨이퍼의 외부 에지 주위의 에칭층을 노출시킨다. 이 실시형태에서, 웨이퍼의 외부 에지 주위의 에칭층을 노출시시키 위해, 웨이퍼의 외부 에지 주위의 에칭층위 (216) 의 모든 유기 재료가 제거되어, 유기 BARC (210) 가 또한 제거된다. 도 5 는 에칭층 (208) 을 노출시키기 위해 웨이퍼의 외부 에지 주위에서 포토레지스트 마스크 (212) 가 제거된 웨이퍼 (204) 의 상면도이다. 일반적으로, 포토레지스트 및 BARC 와 같은 2-3 mm 의 유기 재료가 웨이퍼의 외부 에지 주위로부터 제거되어, 필링 (peeling) 으로부터의 입자 소스를 제거한다.
바람직한 실시형태에서, 웨이퍼 (204) 는 에칭 챔버에 배치된다 (단계 114). 도 3 은 이 예에서 사용될 수도 있는, 층을 증착하고, 에칭하고, 스트리핑하는데 사용될 수도 있는 플라즈마 프로세싱 챔버 (300) 의 개략도이다. 플라즈마 프로세싱 챔버 (300) 는 제한링들 (confinement rings;302), 상부 전극 (304), 하부 전극 (308), 가스 소스 (310), 및 배출 펌프 (320) 를 포함한다. 플라즈마 프로세싱 챔버 (300) 내부에, 웨이퍼 (204) 가 하부 전극 (308) 상에 배치된다. 하부 전극은 웨이퍼 (204) 를 홀딩하는 적당한 기판 처킹 메카니즘 (예를 들어, 정전기적, 메카니컬 클래핑 등) 을 포함한다. 리액터 상부 (328) 는 하부 전극 (308) 과 직접 대향하여 배치된 상부 전극 (304) 를 포함한다. 상부 전극 (304), 하부 전극 (308), 제한링들 (302) 은 한정된 플라즈마 부피를 규정한다. 가스는 가스 소스 (310) 에 의해 한정된 플라즈마 부피에 공급되고, 제한링 (302) 및 배출 펌프 (320) 에 의한 배출 포트를 통해 한정된 플라즈마 부피로부터 배출된다. 제 1 RF 소스 (344) 는 상부 전극 (304) 에 전기적으로 접속된다. 제 2 RF 소스 (348) 는 하부 전극 (308) 에 전기적으로 접속된다. 챔버 벽 (352) 은 제한링제한링 상부 전극 (304), 하부 전극 (308) 을 둘러싼다. 제 1 RF 소스 (344) 및 제 2 RF 소스 (348) 는 27 MHz 전력원 및 2 MHz 전력원을 포함할 수도 있다. RF 전력을 전극에 접속시키는 것의 상이한 조합이 가능하다. 본 발명의 바람직한 실시형태에서 사용될 수도 있는, 캘리포니아 프리몬트의 램 리서치 코포레이션TM에 의해 제작된 2300 FlexTM 또는 Exelan HTP 또는 2300TM Exelan 의 경우에, 27 MHz 및 2 MHz 전력원은 하부 전극에 접속된 제 2 RF 전력원 (348) 을 구성하고, 하부 전극은 접지된다. 제어기 (335) 는 FR 소스 (344, 348), 배출 펌프 (320), 및 가스 소스 (310) 에 제어가능하게 접속된다.
도 4a 및 4b 는 본 발명의 실시형태에 사용된 제어기 (335) 를 구현하는데 적당한 컴퓨터 시스템 (800) 을 도시한다. 도 4a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 배선 회로 보드, 및 소형 핸드헬드 디바이스부터 대용량 슈퍼 컴퓨터까지의 다수의 물리적인 형태들을 가질 수도 있다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징(806), 디스크 드라이브 (808), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 으로 데이터를 전송하고 컴퓨터 시스템 (800) 으로부터 데이터를 전송하는데 사용된 컴퓨터 판독 가능 매체이다.
도 4b 는 컴퓨터 시스템 (800) 에 대한 블록 다이어그램의 예이다. 시스템 버스 (820) 에는 광범위한 다양한 서브시스템들이 첨부된다. 프로세서(들) (822; 중앙 처리장치 또는 CPU 들로도 칭함) 는 메모리 (824) 를 포함하는 저장 디바이스들에 접속된다. 메모리 (824) 는 랜덤 액세스 메모리 (RAM) 및 읽기전용 기억 장치 (ROM) 를 포함한다. 본 기술 분야에서 공지된 바와 같이, ROM 은 데이터 및 명령들을 CPU 로 단방향 전송하고, RAM 은 통상적으로 데이터 및 명령들을 양방향 방식으로 전송한다. 이들 메모리 유형들은 아래에 설명된 임의의 적당한 컴퓨터 판독 가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (826) 는, CPU (822) 에 양방향으로 결합되고, 그것은 부가적인 데이터 저장 용량을 제공하며, 또한 아래에 설명된 임의의 컴퓨터 판독 가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 프로그램들, 데이터 등에 사용될 수도 있고, 통상적으로 1차 저장 매체보다 느린 (하드 디스크와 같은) 2차 저장 매체이다. 고정 디스크 (826) 내에 보유된 정보는, 적절한 경우, 메모리 (824) 내에 가상 메모리로서 표준 방식으로 포함될 수도 있다. 착탈 가능 디스크 (814) 는 아래에 설명된 임의의 컴퓨터-판독 가능 매체의 형태를 취할 수도 있다.
또한, CPU (822) 는 디스플레이 (804), 키보드 (810), 마우스 (812), 및 스피커들 (830) 과 같은 다양한 입/출력 디바이스들에 결합된다. 일반적으로, 입/출력 디바이스는 임의의 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로 폰, 접촉-감지 디스플레이, 변환기 카드 판독기, 마그네틱 또는 페이퍼 테이프 판독기, 테이블릿, 스타일러스, 음성 또는 수기 (hand writing) 문자 인식기, 생체 판독기, 또는 다른 컴퓨터일 수도 있다. 옵션적으로, CPU (822) 는 네트워크 인터페이스 (840) 를 사용하여 다른 컴퓨터 또는 통신 네트워크에 접속될 수도 있다. 이러한 네트워크 인터페이스에서, CPU 가 전술한 방법의 단계들을 수행하는 과정에서 네트워크에 정보를 출력하거나 네트워크로부터 정보를 수신할 수도 있다. 또한, 본 발명의 방법 실시 형태들은 단독으로 CPU (822) 상에서 실행될 수도 있고 또는 프로세싱의 일부를 공유하는 원격 CPU 와 접속하여 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
또한, 본 발명의 실시 형태는 다양한 컴퓨터-구현 동작들을 수행하는 컴퓨터 코드를 갖는 컴퓨터-판독 가능 매체를 가진 컴퓨터 저장 제품들에 관한 것이다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 지시된 것들 일 수도 있고, 또는 잘 알려진 방식이고 컴퓨터 소프트웨어 기술의 당업자가 이용 가능한 것일 수도 있다. 컴퓨터-판독 가능 매체의 예들은 하드 디스크들, 플로피 디스크들, 및 마그네틱 테이프와 같은 플래시 메모리 카드들, 자기 매체; CD-ROM 들 및 홀로그래픽 디바이스들과 같은 광 매체; 플롭티컬 (floptical) 디스크들과 같은 광자기 매체; 주문형 반도체들 (ASIC), 프로그래머블 로직 디바이스들 (PLD) 과 ROM 과 RAM 디바이스들과 같은, 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스들을 포함하나, 이에 제한되지 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 제작된 바와 같은 기계코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 고급 코드 (higher level code) 를 포함하는 파일들을 포함한다. 또한, 컴퓨터 판독 가능 매체는 반송파에서 구체화된 컴퓨터 데이터 신호에 의해 전송되고 프로세서에 의해 실행 가능한 지시들의 시퀀스를 나타낼 수도 있는 컴퓨터 코드일 수도 있다.
증착 가스는 에칭 챔버에 제공된다 (단계 116). 증착 가스는 폴리머를 형성하게 하는 하나 이상의 (적어도 하나의) 종을 포함한다. 이것은 탄소 및 수소 함유 종을 요구한다. 또한, 증착 가스는 플루오르 함유 종을 가지는 것이 바람직하다.
플라즈마는 증착 가스로부터 형성된다 (단계 120). RF 전력원으로부터의 전력은 증착 가스를 에너자이징하는데 사용되어 플라즈마를 형성한다. 폴리머 층은 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 증착되고, 폴리머는 증착 가스로부터의 플라즈마로부터 형성된다 (단계 124). 도 2c 는, 폴리머 (220) 가 웨이퍼의 외부 에지 (216) 주위의 노출된 에칭층상에 증착된 이후의 웨이퍼 (204) 의 부분의 단면도이다. 증착된 폴리머 (220) 가 웨이퍼의 외부 에지 (216) 상의 에칭상에 증착된 것으로만 도시되었지만, 일부 증착 프로세스가 전체 웨이퍼 표면 위에 폴리머를 증착시킬 수도 있다. 웨이퍼의 외부 에지 (216) 에 대한 폴리머의 증착을 최상으로 제한하기 위해 가능한 많은 제어를 가지는 것이 바람직하다.
유전층이 에칭된다 (단계 128). 도 2d 는 형상 (224) 이 유전층 (208) 까지 에칭된 이후의 웨이퍼 (204) 의 단면도이다.
이론에 구속되지 않고, 웨이퍼의 외부 에지상의 노출된 유전층 위의 폴리머 의 존재는 웨이퍼에 걸쳐, 에칭 깊이 균일성 및 프로파일 변화 균일성을 가진, 더욱 균일한 에칭을 제공한다. 증착층이 없는 경우, 에칭층은 플라즈마에 직접 노출되어, 에칭 프로세스 동안, 웨이퍼의 다른 영역에서의 소비보다 에칭 이온 및 라디칼 종을 더 많이 소비하고, 그 결과, 웨이퍼의 에지에 근접한 에칭 레이트는 웨이퍼 중간에 근접한 에칭 레이트보다 느리게 된다. 또한, 웨이퍼의 외부 에지에서 노출된 영역으로부터 제공된 측면 보호에 대한 폴리머 소스의 부족으로 인해, 웨이퍼의 에지 근처의 형상 프로파일은 휘어지는 경향이 있다. 웨이퍼의 외부 에지의 노출된 에칭층 위에 보호 폴리머층을 제공하는 것은, 에칭 이온 및 라디칼 종의 소비를 감소시키기 위해 외부 에지의 에칭층을 보호하고, 더욱 균일한 에칭을 제공하고 휘어짐을 감소시키기 위해 외부 에지에서 폴리머 소스를 제공한다.
탄소, 수소, 및 플루오르 종을 가진 증착 가스를 제공하는 것은 하이드로 플루오르화탄소 (hydro-fluorocarboned) 폴리머를 형성한다. 이러한 폴리머는 최상의 결과를 제공한다.
포토레지트스 마스크에 관해 에칭층상에 증착층을 선택적으로 증착시키는 것이 바람직하다. 웨이퍼의 외부 에지상에 노출된 에칭층상에 증착층을 선택적으로 증착시키는 것이 더욱 바람직하다.
본 발명의 일 예에서, SiC, SiCOH, 및 TEOS 의 에칭층은 실리콘 웨이퍼상에 증착된다 (단계 104). 유기 재료와 같은 레지스트의 ARC 층은 에칭층 위에 형 성된다. 에칭층 위의 ARC 층상에 포토레지스트 마스크가 형성된다 (단계 108). 이 예에서, 바람직하게 KrF 와 같은 248 nm 포토레지스트로 구성된 포토레지스트 마스크가 사용된다. 다른 실시형태에서, i-line 과 같은 포토레지스트 재료 및 ArF 와 같은 193 nm 이하 파장의 포토레지스트가 사용될 수도 있다. 웨이퍼의 에지로부터 3 mm 내의 포토레지스트는 제거된다. 습식 스트립 레지스트 현상액 (developer) 을 사용하는 습식 스트리핑이 웨이퍼 에지 주위의 포토레지스트를 제거하는데 사용된다 (단계 112). 웨이퍼는 이 예에서, 듀얼 주파수 에칭 리액터인 에칭 챔버에 배치된다 (단계 114).
에칭 챔버내에서, 350 sccm Ar, 3.5 sccm 02 및 50 sccm CH3F 의 증착 가스가 챔버 압력이 60 m Torr 로 유지되는 에칭 챔버에 제공된다 (단계 116). 플라즈마가 증착 가스로부터 형성된다 (단계 120). 이 예에서, 27 MHz 에서 800 와트 및 2 MHz 에서 200 와트를 제공한다. 또한, 하부 전극 온도는 20℃ 이고, 척에서 헬륨 백 사이드 압력은 20 Torr 로 유지된다. 폴리머층은 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 증착되고, 폴리머는 증착 가스로부터의 플라즈마로부터 형성된다 (단계 124). 이 예에서, 폴리머는 하이드로 플루오르화탄소이다. 또한, 이 예에서, 폴리머는 웨이퍼의 외부 에지 주위의 노출된 에칭층을 포함하는 전체 웨이퍼 표면위에 증착된다. 이 예에서, 증착은 8 초 동안 제공된다.
그 후, 에칭층은 포토레지스트 마스크를 통해 에칭된다 (단계 128). 이 예에서, 에칭 레시피는 우선 메인 에칭을 제공한다. 메인 에칭의 압력은 70 mTorr 이다. 1400 와트가 27 MHz 에서 제공된다. 1500 와트가 2 MHz 에서 제공된다. 메인 에칭을 위해 플라즈마로 변환되는, 500 sccm Ar, 250 sccm N2, 8 sccm C4F8, 5 sccm CH2F2, 및 5 sccm O2 의 에칭 가스 흐름이 제공된다. 그 후, 오버 에칭이 제공된다. 오버 에칭에 대한 압력은 70 mTorr 이다. 1400 와트가 27 MHz 에서 제공된다. 1800 와트가 2 MHz 에서 제공된다. 오버 에칭을 위해 플라즈마로 변환되는, 500 sccm Ar, 150 sccm N2, 7 sccm C4F8, 5 sccm CH2F2, 및 100 sccm CO 의 에칭 가스 흐름이 제공된다.
전술한 바와 같이, 8 초 증착을 사용한 인벤티브 에칭 및 55 초 메인 에칭은 동일한 에칭 프로세스를 사용한 67 초 메인 에칭을 갖는 제어 에칭과 비교된다. 인벤티브 에칭은 웨이퍼 중앙에서 659Å 의 에칭 깊이를 갖고 웨이퍼 에지에서 663Å 의 에칭 깊이를 갖으며 웨이퍼 에지로부터 3 mm 인 곳에서 672Å 의 깊이를 갖는 형상을 제공한다. 제어 에칭은 웨이퍼 중앙에서 608Å 의 에칭 깊이를 갖고 웨이퍼 에지에서 580Å 의 에칭 깊이를 갖으며 웨이퍼 에지로부터 3 mm 인 곳에서 555Å 의 깊이를 갖는 형상을 제공한다. 제어 에칭이 더 오랜 기간 동안 에칭하는 경우에도, 인벤티브 에칭이 제어 에칭보다 약 12% 더 큰 에칭 형상을 제공한다. 또한, 중앙에서의 에칭 깊이와 에지로부터 3 mm 인 곳에서의 에칭 깊이의 차이는 제어 에칭에서보다 인벤티브 에칭에서 더 적다. 제어 에칭과 인벤티브 에칭을 비교한 경우, 인벤티브 에칭에 의해 웨이퍼 에지로부터 3 mm 인 곳의 형 상의 휘어짐이 감소되는 것이 발견되었다.
산소 대 탄소 및 수소 함유 종 가스의 흐름비는 0:100 과 1:1 사이인 것이 바람직하다. 따라서, 일부 실시형태에서, 증착 가스에 산소가 존재하지 않아, 증착 동안 산소가 존재하지 않는다. 산소 대 탄소 및 수소 함유 종 가스의 흐름비는 0:100 과 1:2 사이인 것이 더욱 바람직하다. 산소 대 탄소 및 수소 함유 종 가스의 흐름비는 0:100 과 1:10 사이인 것이 가장 바람직하다.
낮은 탄소 대 수소 비 증착 가스는 폴리머를 용이하게 획득할 수도 있다. 예를 들어, 1:1 비는 CHF3 를 사용하여 획득될 수도 있고, 1:2 비는 CH2F2 를 사용하여 획득될 수도 있으며, 1:3 비는 CH3F 를 사용하여 획득될 수도 있다.
다른 실시형태들은 193 nm 또는 작은 포토레지스트 재료를 사용할 수도 있다.
더 높은 주파수 소스에 의해 공급된 전력은 50 와트와 3000 와트 사이인 것이 바람직하다. 더 높은 주파수 소스에 의해 공급된 전력은 100 와트와 2000 와트 사이인 것이 더욱 바람직하다. 더 높은 주파수 소스에 의해 공급된 전력은 500 와트와 1000 와트 사이인 것이 가장 바람직하다.
이 발명이 몇몇 바람직한 실시형태의 관점에서 설명되었지만, 이 발명의 범위내에 속하는 변경물들, 대체물들, 및 다양한 균등물들이 존재한다. 본 발명의 방법 및 장치를 구현하는 다수의 다른 방법들이 존재한다. 따라서, 다음의 첨부된 청구항들은 본 발명의 본질적인 사상 및 범위내에 속하는 모든 이러한 변경 물들, 대체물들, 수정물들 및 다양한 균등물들을 포함하는 것으로 해석되도록 의도된다.

Claims (12)

  1. 웨이퍼상에 반도체 디바이스를 형성하는 방법으로서,
    웨이퍼 위에 에칭층을 형성하는 단계;
    상기 에칭층 위에 포토레지스트 마스크를 형성하는 단계;
    상기 웨이퍼의 외부 에지 주위의 에칭층을 노출시키기 위해, 상기 웨이퍼의 외부 에지 주위에서만 포토레지스트 마스크를 제거하는 단계;
    탄소 및 수소 함유 종을 포함하는 증착 가스를 제공하는 단계;
    상기 증착 가스로부터 플라즈마를 형성하는 단계;
    상기 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 폴리머층을 증착하는 단계로서, 폴리머는 상기 증착 가스로부터의 플라즈마로부터 형성되는, 상기 폴리머층을 증착하는 단계; 및
    상기 포토레지스트 마스크 및 상기 웨이퍼의 외부 에지 주위의 노출된 에칭층상에 증착된 상기 폴리머를 소비하며, 상기 포토레지스트 마스크를 통해 상기 에칭층을 에칭하는 단계를 포함하는, 반도체 디바이스 형성 방법.
  2. 제 1 항에 있어서,
    상기 웨이퍼의 외부 에지 주위의 포토레지스트 마스크를 제거한 후에, 에칭 챔버내에 상기 웨이퍼를 배치시키는 단계를 더 포함하고,
    상기 증착 가스를 제공하는 단계, 상기 증착 가스로부터 플라즈마를 형성하 는 단계, 상기 폴리머층을 증착하는 단계, 및 상기 에칭층을 에칭하는 단계는 모두 상기 에칭 챔버내의 인 시츄로 발생하고, 상기 노출된 에칭층상에 증착된 폴리머층은 상기 웨이퍼에 걸쳐 에칭 균일성을 증가시키는, 반도체 디바이스 형성 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 폴리머층이 하이드로 플루오르화탄소 폴리머가 되도록 상기 증착 가스가 플루오르 함유 종을 더 포함하는, 반도체 디바이스 형성 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 웨이퍼의 외부 에지 주위에서만 포토레지스트 마스크를 제거하는 단계는, 상기 웨이퍼의 외부 에지 주위에서만 포토레지스트 마스크를 제거하도록 습식 스트리핑을 사용하는 단계를 포함하는, 반도체 디바이스 형성 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 웨이퍼의 외부 에지 주위의 포토레지스트 마스크를 제거하는 단계는, 상기 웨이퍼의 외부 에지 주위의 포토레지스트를 2 mm 내지 3 mm 만 제거하는 단계를 더 포함하는, 반도체 디바이스 형성 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 증착 가스의 탄소 대 수소 비는 1:1 내지 1:3 의 범위인, 반도체 디바 이스 형성 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 증착 가스는 산소를 더 포함하는, 반도체 디바이스 형성 방법.
  8. 제 3 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 탄소, 수소, 및 플루오르 함유 종은 하이드로 플루오르화탄소인, 반도체 디바이스 형성 방법.
  9. 제 8 항에 있어서,
    상기 하이드로 플루오르화탄소는 CHF3, CH2F2, 및 CH3F 중 적어도 하나인, 반도체 디바이스 형성 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 에칭층은 실리콘을 포함하는, 반도체 디바이스 형성 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 에칭층은 실리콘 산화물, SiC, 및 SiCOH 중 적어도 하나를 포함하는, 반도체 디바이스 형성 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 증착 가스는 Ar, N2, 및 C4F8 를 더 포함하는, 반도체 디바이스 형성 방법.
KR1020077007645A 2004-09-03 2005-08-17 균일성 제어에 의한 에칭 KR101155843B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/934,324 2004-09-03
US10/934,324 US7090782B1 (en) 2004-09-03 2004-09-03 Etch with uniformity control
PCT/US2005/029308 WO2006028673A1 (en) 2004-09-03 2005-08-17 Etch with uniformity control

Publications (2)

Publication Number Publication Date
KR20070097408A true KR20070097408A (ko) 2007-10-04
KR101155843B1 KR101155843B1 (ko) 2012-06-20

Family

ID=35478640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007645A KR101155843B1 (ko) 2004-09-03 2005-08-17 균일성 제어에 의한 에칭

Country Status (6)

Country Link
US (1) US7090782B1 (ko)
JP (2) JP2008512853A (ko)
KR (1) KR101155843B1 (ko)
CN (1) CN100487874C (ko)
TW (1) TWI405265B (ko)
WO (1) WO2006028673A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140140020A (ko) * 2012-03-28 2014-12-08 도쿄엘렉트론가부시키가이샤 자기 조직화 가능한 블록 코폴리머를 이용하여 주기 패턴을 형성하는 방법 및 장치

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1978351A (zh) * 2005-12-02 2007-06-13 鸿富锦精密工业(深圳)有限公司 一种模仁保护膜的去除装置及方法
US8370836B2 (en) 2010-01-28 2013-02-05 Dell Products, Lp System and method to enable power related decisions in a virtualization environment
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
CN103456623A (zh) * 2012-05-29 2013-12-18 上海宏力半导体制造有限公司 减少晶圆边缘聚合物沉积的刻蚀控制方法
CN103000513B (zh) * 2012-12-19 2015-10-28 复旦大学 一种多孔低介电常数材料SiCOH薄膜的刻蚀方法
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
CN109273358A (zh) * 2018-08-31 2019-01-25 上海华力集成电路制造有限公司 晶圆的侧墙刻蚀方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6455826A (en) * 1987-08-26 1989-03-02 Nec Corp Manufacture of semiconductor device
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
JP3178379B2 (ja) 1997-07-29 2001-06-18 住友電装株式会社 電気接続箱
US5783482A (en) * 1997-09-12 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent oxide peeling induced by sog etchback on the wafer edge
JP3987637B2 (ja) * 1998-05-22 2007-10-10 東京エレクトロン株式会社 エッチング方法
TW392228B (en) 1999-01-19 2000-06-01 United Microelectronics Corp Method for removing photoresist on wafer edge in manufacturing semiconductor devices
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
KR100343286B1 (ko) 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
KR20010093006A (ko) * 2000-03-28 2001-10-27 윤종용 웨이퍼 에지 처리 방법
US6569778B2 (en) * 2001-06-28 2003-05-27 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
KR100452317B1 (ko) 2001-07-11 2004-10-12 삼성전자주식회사 포토리소그래피 공정시스템 및 그 방법
US6746308B1 (en) 2001-07-11 2004-06-08 Advanced Micro Devices, Inc. Dynamic lot allocation based upon wafer state characteristics, and system for accomplishing same
KR20040066170A (ko) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
JP3974465B2 (ja) * 2002-07-10 2007-09-12 Necエレクトロニクス株式会社 ポリマー除去方法
US6878612B2 (en) 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device
US7727892B2 (en) * 2002-09-25 2010-06-01 Intel Corporation Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
TWI248138B (en) * 2002-12-20 2006-01-21 Shipley Co Llc Electronic device manufacture
US7247575B2 (en) * 2004-06-30 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-step EBR process for photoresist removal

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140140020A (ko) * 2012-03-28 2014-12-08 도쿄엘렉트론가부시키가이샤 자기 조직화 가능한 블록 코폴리머를 이용하여 주기 패턴을 형성하는 방법 및 장치

Also Published As

Publication number Publication date
WO2006028673A1 (en) 2006-03-16
CN101057320A (zh) 2007-10-17
KR101155843B1 (ko) 2012-06-20
US7090782B1 (en) 2006-08-15
TWI405265B (zh) 2013-08-11
CN100487874C (zh) 2009-05-13
JP2008512853A (ja) 2008-04-24
JP2013016844A (ja) 2013-01-24
TW200618115A (en) 2006-06-01

Similar Documents

Publication Publication Date Title
KR101353239B1 (ko) 피치 감소
US7491647B2 (en) Etch with striation control
JP5081917B2 (ja) フッ素除去プロセス
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101534883B1 (ko) 마스크 트리밍
KR101711669B1 (ko) 측벽 형성 공정
KR101155843B1 (ko) 균일성 제어에 의한 에칭
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
US7785484B2 (en) Mask trimming with ARL etch
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
KR20060126909A (ko) 피쳐 임계 치수의 감소
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
US20070181530A1 (en) Reducing line edge roughness
KR20110018318A (ko) 헬륨 디스커밍
KR20070046095A (ko) 유전층 에칭 방법
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150522

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160526

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170529

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180525

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190529

Year of fee payment: 8