CN102150244B - 侧壁形成工艺 - Google Patents

侧壁形成工艺 Download PDF

Info

Publication number
CN102150244B
CN102150244B CN200980135910.8A CN200980135910A CN102150244B CN 102150244 B CN102150244 B CN 102150244B CN 200980135910 A CN200980135910 A CN 200980135910A CN 102150244 B CN102150244 B CN 102150244B
Authority
CN
China
Prior art keywords
protective layer
photoresist mask
methods according
critical dimension
patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980135910.8A
Other languages
English (en)
Other versions
CN102150244A (zh
Inventor
彼得·西里格里亚诺
海伦·朱
金智洙
S·M·列扎·萨贾迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102150244A publication Critical patent/CN102150244A/zh
Application granted granted Critical
Publication of CN102150244B publication Critical patent/CN102150244B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供在图案化的光刻胶掩模下方的蚀刻层。实施多个侧壁形成工艺。每一个侧壁形成工艺包括通过实施多个周期性沉积在所述图案化的光刻胶掩模上沉积保护层。每个周期性沉积包括至少一在所述图案化的光刻胶掩模表面上沉积沉积层的沉积阶段,和在所述沉积层中形成垂直表面的轮廓成型阶段。每个侧壁形成工艺还包括用于相对于所述保护层的垂直表面选择性蚀刻所述保护层的水平表面的贯穿蚀刻。然后蚀刻所述蚀刻层,以形成具有临界尺寸的特征,所述临界尺寸小于所述图案化的光刻胶掩模内的特征的临界尺寸。

Description

侧壁形成工艺
技术领域
本发明涉及半导体器件的制备。背景技术
在半导体晶片加工期间,通过采用已知的图案化工艺和蚀刻工艺在所述晶片中限定所述半导体器件的特征。在这些工艺中,光刻胶(PR)材料沉积在晶片上,然后在由光栅过滤的光下曝光。所述光栅通常是用阻止光通过光栅传播的示例性特征几何形状图案化的玻璃片。
在经过所述光栅后,光接触所述光刻胶材料的表面。光改变所述光刻胶材料的化学成分,使得显影剂可以除去一部分光刻胶材料。就正光刻胶材料而言,除去的是曝光区域,而就负光刻胶材料来说,除去的是未曝光区域。发明内容
为了实现上述的目的并且与本发明的目的一致,描述一种用于在位于图案化的光刻胶掩模下面的刻蚀层内形成特征的方法。实施多个侧壁形成工艺。每一个侧壁形成工艺包括通过实施多个周期性沉积在所述图案化的光刻胶掩模上沉积保护层。每一个周期性沉积包括至少一个在所述图案化光刻胶掩模表面上沉积沉积层的沉积阶段,和一个在所述沉积层中形成垂直表面的轮廓成型阶段。每一种侧壁形成工艺还包括贯穿刻蚀,所述贯穿刻蚀用于相对于所述保护层的垂直表面选择性刻蚀所述保护层的水平表面。然后,刻蚀该刻蚀层以形成具有临界尺寸的特征,所述特征的临界尺寸小于在所述图案化的光刻胶掩模中的特征的临界尺寸。
在本发明的另一个具体实施例中,提供一种用于在位于图案化的光刻胶掩模下的刻蚀层中形成特征的装置。所述装置包括等离子体处理室。所述等离子体处理室包括形成等离子体处理室外壳的室壁,在所述等离子体处理室外壳内的用于支持基底的基底支架,在所述等离子体处理室外壳内的用于调节压力的压力调节器,一个或多个用于给等离子体处理室外壳提供电力来维持等离子体的电极,用于提供气体进入到等离子体处理室外壳的进气口,以及用于从等离子体处理室外壳排出气体的出气口。所述等离子体处理室还包括与所述进气口气流连接的气体源。所述气体源包括沉积气体源、轮廓成型气体源、贯穿气体源和特征蚀刻气体源。所述等离子体处理室还包括连接到气体源和电极的控制器。所述控制器包括一个或多个处理器以及计算机可读介质。所述计算机可读介质包括执行多个侧壁形成工艺的计算机可读代码,和执行所述多个侧壁形成工艺中的一个的计算机可读代码。后一种代码包括通过实施多个周期性沉积在所述图案化的光刻胶掩模上沉积保护层的计算机可读代码,以及用于执行一个周期性沉积的计算机可读代码。后一种代码包括用于在所述图案化的光刻胶掩模的表面沉积沉积层的沉积阶段的计算机可读代码,以及用于在所述沉积层中形成垂直表面的轮廓成型阶段的计算机可读代码。用于实施所述多个侧壁形成的工艺中的一个的计算机可读代码,还包括相对于沉积层的垂直表面选择性刻蚀保护层的水平表面的计算机可读代码。所述计算机可读介质还包括用于蚀刻所述蚀刻层以在其中形成特征的计算机可读代码,使得在所述蚀刻层中的特征的临界尺寸小于所述图案化光刻胶掩模的特征的临界尺寸。
将在下面的本发明的详细说明中结合下列附图更为详细地描述本发明的这些以及其它特征。附图说明
通过举例的方式,而不是以限制的方式,在附图的图中对本发明进行图解说明,图中的相似参考数字是指类似的部件,并且其中:
图1A-1B是可在本发明的具体实施方式中使用的工艺的高层次流程图。
图2A-G是根据本发明的具体实施方式处理的半导体晶片层的横断面示意图。
图3是可用于实践本发明的等离子体处理室的示意图。
图4A-B图示为计算机系统,该计算机系统适于提供本发明的具体实施方式所采用的控制器。具体实施方式
现将参照如附图所示的本发明的一些优选具体实施方式对本发明进行详细描述。在下面的说明中,阐述了许多具体细节,以提供对本发明的透彻了解。然而,对本领域技术人员来说,在不具有一些或全部所述具体细节的情况下仍能实施本发明,将是显而易见的。在其它情况下,为了不必要地使本发明不清楚,本文没有对众所周知的工艺步骤和/或结构进行详细描述。
本发明包括在图案化的光刻胶掩模上沉积保护材料以形成具有小的临界尺寸(CD)的特征。更具体地,本发明提供具有比所述图案化光刻胶掩模的CD小的CDs的特征,所述图案化光刻胶掩模用于蚀刻所述特征。此外,本发明有助于控制保护材料在所述图案化的光刻胶掩模的大的敞开区域聚集。如果该聚集达到一定的临界厚度,可能会出现例如破裂、片状剥落和/或分层剥离的问题。
为了便于理解,图1A是可在本发明的具体实施方式中使用的工艺的高层次流程图。提供了图案化的光刻胶层和蚀刻层(步骤102)。图2A是在基底204上的蚀刻层208的横断面示意图。具有掩模特征214的图案化的光刻胶掩模212位于所述蚀刻层208的上方,形成堆层200。所述掩模特征214具有临界距离207。可在所述基底和所述掩模之间放置任选的BARC或ARL(抗反射层)。
然后进行多个侧壁形成的工艺(步骤104)。图1B是可在本发明的具体实施方式中使用的侧壁形成工艺的高层次流程图。采用周期性工艺沉积保护层(步骤114)。每个周期包括至少两个步骤,所述两个步骤是在所述光刻胶掩模特征214的侧壁上沉积一层(步骤116)以及然后使所述沉积层的轮廓和垂直表面成型(步骤118)。图2B是具有保护层220的图案化光刻胶掩模212的横断面示意图,所述具有保护层220的图案化光刻胶掩模212是由一个周期的保护层形成工艺所形成。保护层220包括侧壁217、水平敞开区域215和位于所述掩模特征214内的保护层特征222。保护层特征222具有临界尺寸209,该临界尺寸209小于掩模特征214的临界尺寸207。
所述保护层的沉积包括多个周期。图2C显示了另一个周期对图2B中显示的堆层200的影响。在图示的具体实施例中,保护层220的侧壁217和水平敞开区域215被大幅增厚,导致临界距离209进一步减小。如上所述,如果保护层220在开放区域215上的聚集被允许未经检查而继续进行的,则会产生各种问题。例如,所述保护层220可能会破裂、成片剥落和/或释放污染物。其它可能的问题包括消除保护层和/或磨损光刻胶图案。
所述侧壁形成工艺还包括贯穿蚀刻,其在周期性保护层形成工艺完成后实施(步骤120)。该贯穿蚀刻包括相对于所述保护层的垂直表面选择性地蚀刻所述保护层220的水平表面。图2D是在贯穿蚀刻后图2C中图示的堆层200的横断面示意图。在敞开区域215中的保护层220的厚度已被大幅减小。然而,沿着侧壁217的保护层220已被最低限度地减小。以比侧壁217不成比例的更快的速率蚀刻敞开区域215。因此,临界尺寸209并没有大幅减小。(应理解的是,本申请中的附图并未按比例绘制。为了清楚的目的,一些图示的特征的相对尺寸可能被放大。)
根据特定应用的需要,敞开区域215的蚀刻程度可有所不同。在一些具体实施方式中,贯穿蚀刻仅部分地除去在光刻胶层212的水平表面上覆盖的保护层220。即,贯穿蚀刻不贯穿保护层220,但是大幅减小它的厚度。在一些应用中,这是有利的,因为它防止下面的层(例如蚀刻层208)受到损坏。在其它的具体实施例中,所述贯穿蚀刻基本上从至少一些敞开区域215上除去所有的保护层材料,因此暴露下面的层(例如蚀刻层208或光刻胶层212)的一部分。优选地,为了完成贯穿工艺,相对于下面的层,所述贯穿高度选择所述沉积层。
在贯穿蚀刻后,可实施另一个侧壁形成工艺(图1B的步骤122)。通常实施至少2个侧壁形成工艺。图2E是在实施第二个侧壁形成工艺后的堆层200的横断面示意图。与图2D的堆层200相比较,在侧壁217上的保护层220大幅增厚,而在敞开区域215上的保护层220的增长被最小化。采用多个侧壁形成工艺减小了保护层特征222的临界距离209,而同时限制保护层220在敞开区域215上的聚集。
在实施多个侧壁形成工艺后,蚀刻该蚀刻层(图1A的步骤106)。图2F显示被蚀刻到蚀刻层208里面的特征224。在该实施例中,在蚀刻层208内蚀刻的特征224具有CD211,其与保护层特征222的CD209相等。实际上,特征224的CD211可略大于所述沉积的保护层220的特征222的CD209。然而,由于沉积的保护层特征222的CD209明显小于所述光刻胶212的CD207,在蚀刻层208内的特征224的CD211仍小于所述光刻胶212的CD207。优选地,所述蚀刻层208的特征224的CD211比所述光刻胶特征的CD207小至少约50%。
然后可除去所述光刻胶层和沉积的保护层(图1A的步骤108)。这可以作为单个步骤或具有独立的保护层除去步骤和光刻胶除去步骤的两个独立的步骤来完成。灰化(ashing)可用于所述除去工艺。图2G显示在除去保护层和光刻胶掩模后的堆层200。可实施其它的形成步骤。例如,然后可在所述特征内形成接触。为了提供双镶嵌结构,可在所述接触形成之前蚀刻出沟槽。可在接触形成之后实施其它的工艺。实施侧壁形成工艺的实施例
在本发明的一个实施例中,提供在半导体晶片的蚀刻层208上覆盖的193nm光刻胶的图案化光刻胶掩模(步骤102)。在另一具体实施方式中,所述图案化光刻胶掩模是由193nm浸泡光刻胶制成。光刻胶掩模特征形成于图案化的光刻胶掩模212中。目前,针对248nm光刻胶掩模,采用传统工艺,所述光刻胶的通常的CD可以是250-130nm。所述基底放置在等离子体处理室内。
图3是可用于在该实施例中打开该有机ARC层和蚀刻所述特征的等离子体处理室400的示意图。所述等离子体处理室400包括隔离环402、上电极404、下电极408、气体源410和排气泵420。所述气体源410包括轮廓成型气体源412、聚合气体源418、贯穿气体源411和用于蚀刻在蚀刻层中的特征的气体源419(如果在相同的处理室内蚀刻所述特征)。所述气体源410可包括其它气体源。在等离子体处理室400内,所述基底204被放置在下电极408的上方。所述下电极408结合有用于固定所述基底204的适当的基底夹紧机构(例如静电吸附、机械吸附,等等)。反应器顶部428结合上电极404,所述上电极404直接布置在所述下电极408的对面。所述上电极404、下电极408和隔离环402限定了该限制的等离子体容积440。由气体源410将气体供给所述限制的等离子体容积440,并将气体从该限制的等离子体容积440通过所述隔离环402和排气口由排气泵420排出。RF源448与下电极408电连接。上电极404接地。室壁452环绕隔离环402、上电极404和下电极408。所述RF源448可包括一种或多种源频率,例如27MHz电源和/或2MHz电源和/或60MHz电源。可能在其它具体实施例中有连接RF电源和电极的不同的组合,例如使RF源连接上电极404。在MotifTM的情况下(所述MotifTM与具有快速气体调节组件的Flex45TM基本相同,由加州弗里蒙特的LAM ResearchCorporationTM制造),所述MotifTM可应用于本发明的优选具体实施方式中,所有三种60MHz、27MHz和2MHz源频率组成与下电极连接的所述RF电源448,而所述上电极404接地。控制器435可控制地连接所述RF电源448、排气泵420和气体源410。
图4A和4B图示为计算机系统1300,其适于提供在本发明的具体实施例中采用的控制器435。图4A显示了该计算机系统的可能的物理形态。当然,该计算机系统可具有从集成电路、印刷电路板和小型手提设备直到巨型超级计算机的多种物理形态。计算机系统1300包括监视器1302、显示器1304、壳体1306、磁盘驱动器1308、键盘1310以及鼠标1312。盘1314是计算机可读介质,用于向计算机系统1300传输数据以及从计算机系统1300传输数据。
图4B是计算机系统1300的框图的实施例。连接到系统总线1320的是种类繁多的子系统。处理器1322(也被称为中央处理单元,或CPUs)与存储设备(包括存储器1324)连接。存储器1324包括随机存取存储器(RAM)和只读存储器(ROM)。如本领域所众所周知的,ROM起向所述CPU单向定向传输数据和指令的作用,而RAM通常用于以双向方式传输数据和指令。这些类型的存储器都包括下面描述的任意计算机可读介质。硬盘1326也双向连接CPU1322;其提供其它数据存储能力并可包括下面描述的任意计算机可读介质。硬盘1326可用于存储程序、数据等等,并通常是比主存储慢的辅助存储介质(例如硬盘)。应理解的是,在适当的情况下,保留在硬盘1326内的信息可以如虚拟内存的标准方式纳入存储器1324中。可移动硬盘1314可采取下面描述的任意计算机可读介质的形式。
CPU1322也连接各种输入/输出设备,例如显示器1304、键盘1310、鼠标1312和扬声器1330。总之,输入/输出设备可以是以下中的任意一种:影像显示器、轨迹球、鼠标器、键盘、麦克风、触感显示器、传感器读卡器、磁带阅读器或纸带阅读器、图形输入装置、指示笔、声音识别器或手写识别器、生物识别阅读器或其它计算机。CPU1322任选可采用网络接口1340连接另一计算机或电信网络。通过该网络接口,预期在实施上述方法步骤期间,CPU可接受来自网络的信息,或可输出信息到网络上。而且,本发明的方法具体实施例可只在CPU1322上执行或可在网络(例如与参与一部分处理的远程CPU连接的互联网)上执行。
此外,本发明的具体实施例还涉及具有计算机可读介质的计算机存储产品,所述计算机存储产品上具有计算机代码,并用于执行各种计算机实施的操作。所述介质和计算机代码可以是那些专门为本发明的目的而设计和构造的介质和计算机代码,或者它们可以是对那些具有计算机软件领域的技术人员所熟知的和现有的那种介质和计算机代码。固定的计算机可读的介质的实例包括,但不限于:磁性介质例如硬盘、软盘和磁带;光学介质例如CD-ROMs和全息装置;磁-光介质例如可光读软盘;以及特别配置来存储和执行程序代码的硬件设备,例如特定应用集成电路(ASICs)、可编程逻辑器件(PLDs)和ROM以及RAM设备。计算机代码的实施例包括机器代码(例如由编辑器产生的),和采用解译器由计算机执行的含有较高级别代码的文件。计算机可读介质还可以是由计算机数据信号传送的计算机代码,所述计算机数据信号体现在载波中并代表可由处理器执行的指令序列。
其它实施例可采用其它设备来实施本发明。
然后,实施多个侧壁形成工艺(步骤104)。在侧壁形成工艺的第一个重复顺序,执行周期性形成保护层的第一个周期(步骤114)。在该实施例中,沉积阶段(步骤116)包括提供沉积气体和从沉积气体产生等离子体以形成沉积层。在该实施例中,沉积气体包括聚合物成型配方。该聚合物成型配方的实例是烃类气体例如C2H2、CH4和C2H4,和/或碳氟化合物气体例如CH3F、CH2F2、CHF3、C4F6,和C4F8。在一些具体实施方式中,所述聚合物成型配方可包括辅助气体(例如N2、H2或Ar)。所述聚合物成型配方的另一个实例将是碳氟化合物化学物质和包含有氢的气体,例如C2H4和N2的配方。然后停止供应沉积气体。
所述轮廓成型(步骤118)包括提供轮廓成型气体和从所述轮廓成型气体产生轮廓成型等离子体以使所述沉积层的轮廓成型。所述轮廓成型气体与沉积气体不同。如图所示,所述沉积阶段(步骤116)和轮廓成型阶段(步骤118)在不同的时间发生。在该实施例中,所述轮廓成型气体包括碳氟化合物化学物质,例如CF4、CHF3和CH2F2。可采用或添加入其它气体例如COS、O2、N2和H2。在该实施例中,提供的功率为0瓦特(2MHz)和300-800瓦特(60或27MHz)。然后停止供应轮廓成型气体。
然后,实施所述周期性形成保护层的第二个周期。因此,第二次重复该沉积阶段(步骤116)。如上所述这里采用相同的沉积配方。在可代替的具体实施例中,所述沉积配方也可以第一沉积阶段的配方为基础进行修改。
第二次重复所述轮廓成型阶段(步骤118)。如上所述这里采用相同的轮廓成型配方。所述轮廓成型配方也可以第一沉积阶段的配方为基础进行修改。
所述保护层形成工艺(步骤114)可重复若干个周期直到形成所需的保护层。优选地,在该实施例中,周期的个数可以为1至13次。更优选地,周期的个数是2至6次。优选地,保护层的侧壁厚度取决于尺寸收缩的需求。优选地保护层的侧壁厚度为5nm至30nm。更优选地,保护层的侧壁是15nm至25nm。
侧壁形成工艺的第一个重复顺序还包括贯穿蚀刻(步骤120)。所述贯穿蚀刻包括提供贯穿气体和从所述贯穿气体产生贯穿等离子体以相对于所述保护层的垂直表面选择性地蚀刻所述保护层的水平表面。如图所示,所述贯穿蚀刻(步骤120)发生的时间与所述沉积阶段(步骤116)和所述轮廓成型阶段(阶段118)发生的时间不同。在该实施例中,所述贯穿气体包括碳氟化合物化学物质,例如CF4。也可采用或添加入其它气体例如COS、O2、N2和H2。在该实施例中,供应的功率为0瓦特(2MHz)和300-800瓦特(60或27MHz)。然后停止供应轮廓成型气体。然后停止供应贯穿气体。
然后,实施所述侧壁形成工艺的第二个重复顺序(步骤122)。因此,沉积阶段116和轮廓成型阶段118各自重复至少2次并且实施至少另一个贯穿蚀刻120。可以实施所述侧壁形成工艺的另外的重复顺序,直到所述保护层特征取得了它们所需的尺寸。每个侧壁形成工艺(例如周期性保护层形成工艺的沉积阶段116和轮廓成型阶段118以及贯穿蚀刻120)的任何子步骤的参数可根据特定应用的需要而有所不同。例如,在任意一个子步骤设定的气体的种类和功率可相对于任意另一个子步骤不同或保持相同。通常,最后的贯穿蚀刻(步骤120)在随后的下层蚀刻步骤之前清除在特征222底部保留的保护层220。
然后蚀刻所述蚀刻层(步骤106)。然后,除去保护层和光刻胶掩模(步骤108)。可除去保护层和光刻胶掩模的光刻胶掩模的实施例是化学除去或用由O2或N2/H2产生的等离子体来除去。也可实施其它的形成步骤(步骤120)。
优选地,每个沉积阶段的每个沉积层的厚度是0.5至30nm。更优选地,每个沉积阶段的每个沉积层的厚度是0.5至5nm。更优选地,每个沉积阶段的每个沉积层的厚度是1至5nm。优选地,所述保护层的形成需实施1至13个周期。更优选地,所述保护层的形成需实施2至6个周期。
具体的实施方式包括在图案化的光刻胶掩模的顶部沉积保护层。在本发明的一个具体实施方式中,所述保护层是碳和氢的材料。
尽管本发明已对一些优选的具体实施方式进行了描述,但是存在有变更、置换和各种等同替换,这些均落入本发明的范围内。应注意的是,存在有许多实施本发明的方法和装置的替代方式。因此,意图是后附的权利要求书被解释为包括所有的所述变更、置换和各种等同替换,并均落入本发明的真正的精神和范围内。

Claims (38)

1.一种用于在图案化的具有光刻胶特征的光刻胶掩模下的蚀刻层中形成特征的方法,所述光刻胶特征具有第一临界尺寸,所述方法包括:
实施多个侧壁形成工艺的循环A,所述多个侧壁形成工艺的循环A中的每一个包括通过实施多个周期性沉积循环B在所述图案化的光刻胶掩模上沉积保护层和相对于沉积层的垂直表面选择性蚀刻保护层的水平表面,
其中所述周期性沉积循环B中的每一个包括:沉积阶段,用于在所述图案化的光刻胶掩模的表面上沉积沉积层;和轮廓成型阶段,包括在所述沉积层中形成垂直表面;以及
蚀刻所述蚀刻层以在其中形成特征,所述蚀刻层中的特征具有比第一临界尺寸小的第二临界尺寸。
2.根据权利要求1所述的方法,其中所述沉积阶段包括:
输送沉积气体;
使所述沉积气体产生等离子体;以及
停止输送所述沉积气体。
3.根据权利要求2所述的方法,其中所述轮廓成型阶段包括:
输送轮廓成型气体;
使所述轮廓成型气体产生等离子体;以及
停止输送所述轮廓成型气体。
4.根据权利要求3所述的方法,其中选择性蚀刻所述保护层的水平表面包括:
输送贯穿气体;
使所述贯穿气体产生等离子体;以及
停止输送所述贯穿气体。
5.根据权利要求4所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括完全除去在所述图案化的光刻胶掩模的水平面上覆盖的部分保护层。
6.根据权利要求4所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括部分除去在所述图案化的光刻胶掩模的水平面上覆盖的部分保护层。
7.根据权利要求6所述的方法,其中所述保护层具有厚度为5nm至30nm的侧壁。
8.根据权利要求6所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小至少约50%。
9.根据权利要求6所述的方法,其中所述保护层的沉积在所述图案化的光刻胶掩模顶部形成保护层。
10.根据权利要求6所述的方法,包括除去所述保护层和光刻胶掩模。
11.根据权利要求1所述的方法,其中所述轮廓成型阶段包括:
输送轮廓成型气体;
使所述轮廓成型气体产生等离子体;以及
停止输送所述轮廓成型气体。
12.根据权利要求1-2和11中的任一项所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括:
输送贯穿气体;
使所述贯穿气体产生等离子体;以及
停止输送所述贯穿气体。
13.根据权利要求1-2和11中的任一项所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括完全除去在所述图案化的光刻胶掩模的水平表面上覆盖的部分保护层。
14.根据权利要求1-2和11中的任一项所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括部分除去在所述图案化的光刻胶掩模的水平表面上覆盖的部分保护层。
15.根据权利要求1-2和11中的任一项所述的方法,其中所述保护层具有厚度为5nm至30nm的侧壁。
16.根据权利要求1-2和11中的任一项所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小约50%。
17.根据权利要求1-2和11中的任一项所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
18.根据权利要求1-2和11中的任一项所述的方法,包括除去所述保护层和光刻胶掩模。
19.根据权利要求12所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括完全除去在所述图案化的光刻胶掩模的水平表面上覆盖的部分保护层。
20.根据权利要求12所述的方法,其中所述选择性蚀刻所述保护层的水平表面包括部分除去在所述图案化的光刻胶掩模的水平表面上覆盖的部分保护层。
21.根据权利要求12所述的方法,其中所述保护层具有厚度为5nm至30nm的侧壁。
22.根据权利要求13所述的方法,其中所述保护层具有厚度为5nm至30nm的侧壁。
23.根据权利要求14所述的方法,其中所述保护层具有厚度为5nm至30nm的侧壁。
24.根据权利要求12所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小约50%。
25.根据权利要求13所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小约50%。
26.根据权利要求14所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小约50%。
27.根据权利要求15所述的方法,其中所述第二临界尺寸比所述第一临界尺寸小约50%。
28.根据权利要求12所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
29.根据权利要求13所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
30.根据权利要求14所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
31.根据权利要求15所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
32.根据权利要求16所述的方法,其中所述保护层沉积在所述图案化的光刻胶掩模顶部形成所述保护层。
33.根据权利要求12所述的方法,包括除去所述保护层和光刻胶掩模。
34.根据权利要求13所述的方法,包括除去所述保护层和光刻胶掩模。
35.根据权利要求14所述的方法,包括除去所述保护层和光刻胶掩模。
36.根据权利要求15所述的方法,包括除去所述保护层和光刻胶掩模。
37.根据权利要求16所述的方法,包括除去所述保护层和光刻胶掩模。
38.根据权利要求17所述的方法,包括除去所述保护层和光刻胶掩模。
CN200980135910.8A 2008-09-18 2009-09-11 侧壁形成工艺 Active CN102150244B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/233,517 2008-09-18
US12/233,517 US7772122B2 (en) 2008-09-18 2008-09-18 Sidewall forming processes
PCT/US2009/056716 WO2010033434A2 (en) 2008-09-18 2009-09-11 Sidewall forming processes

Publications (2)

Publication Number Publication Date
CN102150244A CN102150244A (zh) 2011-08-10
CN102150244B true CN102150244B (zh) 2017-02-22

Family

ID=42007604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980135910.8A Active CN102150244B (zh) 2008-09-18 2009-09-11 侧壁形成工艺

Country Status (5)

Country Link
US (1) US7772122B2 (zh)
KR (1) KR101711669B1 (zh)
CN (1) CN102150244B (zh)
TW (1) TWI496208B (zh)
WO (1) WO2010033434A2 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
WO2009085598A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Photoresist double patterning
US8476168B2 (en) 2011-01-26 2013-07-02 International Business Machines Corporation Non-conformal hardmask deposition for through silicon etch
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP5698558B2 (ja) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
CN103904018B (zh) * 2012-12-24 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9543203B1 (en) 2015-07-02 2017-01-10 United Microelectronics Corp. Method of fabricating a semiconductor structure with a self-aligned contact
CN106495087B (zh) * 2015-09-08 2020-04-28 北京北方华创微电子装备有限公司 二氧化硅基片的刻蚀方法
CN108962726B (zh) * 2017-05-17 2022-01-25 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108962745A (zh) * 2017-05-26 2018-12-07 中芯国际集成电路制造(上海)有限公司 图案化的方法以及半导体器件的制作方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
CN113035694B (zh) * 2019-12-25 2024-09-10 中微半导体设备(上海)股份有限公司 刻蚀方法
KR20230051285A (ko) * 2020-08-18 2023-04-17 어플라이드 머티어리얼스, 인코포레이티드 프리-에칭 보호 층을 증착하는 방법
TW202247287A (zh) * 2021-04-01 2022-12-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101116177A (zh) * 2004-12-16 2008-01-30 兰姆研究有限公司 蚀刻掩模特征临界尺寸的减小

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7465525B2 (en) * 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101116177A (zh) * 2004-12-16 2008-01-30 兰姆研究有限公司 蚀刻掩模特征临界尺寸的减小

Also Published As

Publication number Publication date
TW201023265A (en) 2010-06-16
WO2010033434A3 (en) 2010-06-10
TWI496208B (zh) 2015-08-11
US20100068885A1 (en) 2010-03-18
WO2010033434A2 (en) 2010-03-25
KR101711669B1 (ko) 2017-03-02
KR20110065469A (ko) 2011-06-15
US7772122B2 (en) 2010-08-10
CN102150244A (zh) 2011-08-10

Similar Documents

Publication Publication Date Title
CN102150244B (zh) 侧壁形成工艺
TWI447800B (zh) 光阻雙重圖形化
CN102007570B (zh) 用高蚀刻速率抗蚀剂掩膜进行蚀刻
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
CN101523567B (zh) 去氟化工艺
CN101595551B (zh) 临界尺寸减小及粗糙度控制
TWI411040B (zh) 使用多重遮罩之特徵關鍵尺寸的減小
KR101353239B1 (ko) 피치 감소
CN101185157B (zh) 蚀刻形貌控制
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
US8304262B2 (en) Wiggling control for pseudo-hardmask
CN103105744A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN101971301B (zh) 利用稀有气体等离子的线宽粗糙度改进
KR20060126909A (ko) 피쳐 임계 치수의 감소
CN105390390A (zh) 无限选择性的光刻胶掩膜蚀刻
TW200929362A (en) Mask trimming
TWI405265B (zh) 均勻控制的蝕刻
TWI584360B (zh) 梯級狀構造之形成方法
CN101903978B (zh) 用于注入光刻胶的保护层
US20090291562A1 (en) Helium descumming
KR20110028276A (ko) 액침 포토레지스트에 대한 선택적 유기 arc 에칭

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant