CN1894784A - 防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法 - Google Patents

防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法 Download PDF

Info

Publication number
CN1894784A
CN1894784A CNA2004800375736A CN200480037573A CN1894784A CN 1894784 A CN1894784 A CN 1894784A CN A2004800375736 A CNA2004800375736 A CN A2004800375736A CN 200480037573 A CN200480037573 A CN 200480037573A CN 1894784 A CN1894784 A CN 1894784A
Authority
CN
China
Prior art keywords
feature
layer
protective layer
porous low
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800375736A
Other languages
English (en)
Other versions
CN100524668C (zh
Inventor
R·安纳普拉加达
K·塔克施塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1894784A publication Critical patent/CN1894784A/zh
Application granted granted Critical
Publication of CN100524668C publication Critical patent/CN100524668C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了一种在多孔低K介电层内形成特征的方法。将多孔低K介电层置于衬底上。将图形化光致抗蚀剂掩膜置于该多孔低K介电层上。将特征蚀刻到该多孔低K介电层中。在蚀刻了该特征之后,在该特征上沉积保护层。剥离该图形化光致抗蚀剂掩膜,使得除去该保护层的一部分,其中由该保护层形成的保护壁保留在该特征中。

Description

防止在抗蚀剂剥离过程中对多孔低K材料的损伤的方法
技术领域
本发明涉及基于半导体的装置的制造。更为特别地,本发明涉及用于用多孔低K介电层来制造基于半导体的装置的改进技术。
背景技术
在基于半导体的装置(例如集成电路或平板显示器)制造中,双镶嵌结构可以结合铜导体被用于降低与在前代技术中所使用的基于铝的材料中的信号传播相关的RC延迟。在双镶嵌过程中,代替蚀刻导体材料,可将通路以及沟渠蚀刻到介电材料中并用铜填充。可通过化学机械抛光(CMP)除去过量的铜,留下通过通路连接的铜线以用于信号传输。为了更进一步降低RC延迟,可使用多孔低介电常数材料。这些多孔低介电常数材料可以包含多孔有机硅玻璃(OSG)材料。OSG材料可以是掺杂有诸如甲基的有机成分的二氧化硅。OSG材料可将碳和氢原子结合到二氧化硅晶格中,这降低材料的介电常数。然而,OSG材料在暴露于用于剥离光致抗蚀剂的O2、H2、和NH3气体中时可能容易受到损伤。多孔材料具有小孔,这些小孔允许剥离等离子体更深地进入层中,从而导致更大的损伤。
由于通过暴露于用于剥离抗蚀剂和侧壁的等离子体中来除去有机内容,所以多孔OSG材料可能非常容易受损伤。等离子体可以扩散到多孔OSG层的小孔内并导致直到进入邻接开口的OSG层内300nm的损伤。由等离子体导致的损伤的一部分是从损伤区域除去碳和氢,从而导致该OSG更像具有更高的介电常数的二氧化硅。可根据FTIR分析通过测量OSG层的SiC/SiO比例的变化来量化损伤。当移动到沟渠侧壁时,那意味着在2000沟渠壁的每一侧有几百埃的损伤。
理想的是降低在剥离工艺过程中对多孔低K介质层的损伤。
发明内容
为了实现根据本发明目的的前述及其它目标,提供了一种在多孔低K介电层内形成特征的方法。多孔低K介电层被置于衬底之上。图形化光致抗蚀剂掩膜被置于该多孔低K介电层之上。将特征蚀刻到该多孔低K介电层中。在蚀刻了该特征之后,在该特征上沉积保护层。剥离该图形化光致抗蚀剂掩膜,使得除去该保护层的一部分,其中由该保护层形成的保护壁的小部分保留在该特征内。
在本发明另一个表现形式中,提供了一种用于通过衬底上的掩膜在多孔低K介电层内蚀刻特征的设备。提供了等离子体处理腔,该处理腔包含形成等离子体处理腔围壁(enclosure)的腔壁、用于在该等离子体处理腔围壁内支承衬底的衬底支承装置、用于调节该等离子体处理腔围壁内的压力的压力调节器、用于向该等离子体处理腔围壁供电以维持等离子体的至少一个电极、用于将气体提供到该等离子体处理腔围壁内的气体入口、以及用于从该等离子体处理腔围壁中排出气体的气体出口。气体源与该气体入口流体连接。控制器可控制地连接到气体源、至少一个电极、压力调节器、气体入口、以及气体出口中的至少一个上。该控制器包含至少一个处理器和计算机可读介质。该计算机可读介质包含用于提供蚀刻等离子体以便将特征蚀刻到多孔低K介电层中的计算机可读代码、用于提供沉积等离子体以便在已蚀刻了该特征之后在该特征上形成保护层的计算机可读代码、以及用于从该多孔低K介电层上剥离光致抗蚀剂掩膜的计算机可读代码,其中该剥离除去该保护层的一部分并留下由该保护层形成的小保护壁。
在本发明的另一个表现形式中,提供了一种用于在位于衬底之上的并被置于图形化光致抗蚀剂掩膜之下的多孔低K介电层中形成特征的方法。通过该光致抗蚀剂掩膜将特征蚀刻到该多孔低K介电层内。在蚀刻了该特征之后,在该特征上沉积保护层。剥离该图形化光致抗蚀剂掩膜,使得除去该保护层的一部分,其中由该保护层形成的保护壁保留在该特征中。
下面将在本发明的详细描述中并结合附图更详细地描述本发明的这些和其它特征。
附图说明
在附图的各个图中,通过实例而不是通过限制来阐述本发明;在附图中相似的附图标记表示相似的元件,其中:
图1为本发明实施例中所使用的工艺的流程图。
图2A-2F为根据图1的工艺的、已蚀刻的多孔低K介电层的示意性侧视图。
图3为可以在本发明实施例中使用的处理腔的示意性视图。
图4A-4B为可用作控制器的计算机系统的示意性视图。
具体实施方式
现在将参考如附图中所示的本发明的几个优选实施例来详细地描述本发明。在下面的描述中,阐述了许多具体细节以便提供对本发明的全面理解。然而,对于本领域的技术人员来说显而易见的是,可以不使用这些具体细节中的部分或所有的情况下实践本发明。在其它实例中,没有详细地描述熟知的工艺步骤和/或结构,以便不使本发明不必要地不清楚。
为了便于讨论,图1为本发明优选实施例中所使用的多孔低K介电层的蚀刻工艺的流程图。其中所述小孔为纳米尺寸的小孔。更为优选地,这些小孔为大约1nm至大约10nm。在定义和权利要求中,短语“多孔低K电介质”是介电常数小于2.3的电介质,因为介电常数小于2.3的介电材料通常是多孔的。多孔低K介电材料的一些实例为由日本东京的JSRCorporation制造的JSR LKD-5109低K电介质以及由ShipleyMicroelectronics(为Rohm and Haas of Philadelphia,PA.的子公司)制造的ZirkonTMLK。一些多孔低K介电材料基于有机硅玻璃(OSG)。其它多孔低K介电材料为多孔SILK、不含硅的纯有机材料。图2A-2D为根据图1的工艺的多孔低K介电层的示意性侧视图。如图2A中所示,多孔介电层204可被沉积在衬底208上(步骤104)。衬底208可以是硅晶片或其它类型的材料,或者可以是晶片上的层的一部分。盖层212形成于多孔介电层204上(步骤108)。盖层212可以是氧化硅。通常,该盖层为由介电材料形成的保护层。盖层212在化学机械抛光(CMP)和其它工艺过程中保护多孔介电层204。盖层212可以是低K电介质,因为该盖层是最终产品的一部分。优选地,该盖层由氧化硅基材料形成。该盖层优选地具有在大约200和大约1000之间的厚度。抗反射涂层(ARC)214被沉积于盖层212上(步骤212)。抗反射涂层(ARC)214可以是有机底部抗反射涂层(BARC)或无机电介质抗反射涂层(DARC)。ARC具有在大约100和大约1000之间的厚度。在ARC 214上提供图形化抗蚀剂掩膜216(步骤116)。图形化抗蚀剂掩膜216具有孔220。可以通过配置光致抗蚀剂层来形成该图形化抗蚀剂掩膜,其中该光致抗蚀剂层被暴露于光图形中并且随后被蚀刻。可以使用其它形成图形化抗蚀剂掩膜的方法。衬底208可以具有接触209和阻挡层210。
衬底208可被置于蚀刻腔内,在该蚀刻腔内蚀刻多孔介电层204(步骤120)。等离子体干法蚀刻可被用于蚀刻多孔介电层204,这在图形化抗蚀剂掩膜216中的孔220下形成开口224,如图2B中所示。在多孔介电层蚀刻期间,除去一些图形化抗蚀剂掩膜216。这种多孔电介质蚀刻可采用化学蚀刻,例如使用基于氟的蚀刻剂。例如,蚀刻化学物(chemistry)可使用低压下的C4F8和N2。因此,可以蚀刻特征的侧壁。此外,这种蚀刻可以在蚀刻过程中沉积聚合物。这种蚀刻可以使用一些O2,但O2的量通常将小于剥离工艺中所使用的O2的量。由于O2的量小且压力被保持得低,蚀刻化学物对多孔电介质的损伤小于剥离工艺将造成的损伤。
保护层228随后被沉积在已蚀刻的特征上(步骤124),如图2C中所示。在优选实施例中,通过聚合物沉积形成该保护层。这种聚合物沉积化学物可使用C2H4与N2或CH4与O2的沉积化学物。为了避免损伤暴露的多孔电介质,优选的是将无氟化学物用于聚合物形成。优选地,聚合物沉积的厚度在大约100和1500之间。更为优选地,聚合物沉积的厚度在大约200和800之间。最优选地,聚合物沉积的厚度在大约200和500。
图形化抗蚀剂掩膜216利用剥离被剥离,该剥离也除去保护层的一部分,在特征224内留下由保护层形成的保护壁230以保护该多孔电介质(步骤128),如图2D中所示。典型的光致抗蚀剂剥离化学物使用基于O2、NH3、或N2H2的剥离化学物。这些剥离化学物能够扩散穿过小孔并导致对暴露表面之下深处的多孔低K电介质的有机成分的损伤,从而导致对低K电介质的基本部分的损伤。然而,保护壁230提供对多孔介电层204的保护,使得在剥离工艺过程中不存在多孔介电层的暴露表面。保护壁230足够薄以避免粘附失败。如果保护壁太厚,则保护壁可能分层从而导致粘附问题。另一方面,如果原始保护层太薄,则将存在对多孔介电层的不充足的保护。通常,可作为蚀刻步骤的结果而形成的侧壁太薄以致不能提供对多孔介电层的足够保护,这就是在完成蚀刻之后需要进行聚合物沉积步骤的原因。优选地,在剥离过程中使用离子轰击,以便择优地除去保护层的上表面而不除去保护壁。
在本发明的一些实施例中,可在剥离光致抗蚀剂216之后打开阻挡层210。保护壁230可以在阻挡层210打开期间进一步保护该多孔介电层。下一层接着形成于保护壁230上(步骤132)。在一个实例中,在保护壁230上形成阻挡层240,如图2E中所示,并在该特征之内和之上形成铜接触层244。使用化学机械抛光来除去阻挡层240和铜接触层244的过量部分以形成铜246,如图2F中所示。
图3为等离子体处理腔300的示意性视图,该处理腔可被用于蚀刻特征、随后沉积保护层、并且随后在原处剥离光致抗蚀剂。等离子体处理腔300包含限制环302、上电极304、下电极308、气体源310、和排气泵320。气体源310包含蚀刻剂气体源312、保护层气体源316、以及剥离气体源318。气体源310可包含附加的气体源。在等离子体处理腔300内,衬底208被置于下电极308之上。下电极308包含用于保持衬底208的适当的衬底夹具机构(例如静电、机械夹具等)。反应器顶部328包含上电极304,该上电极被布置成和下电极308直接相对。上电极304、下电极308、和限制环302定义受限制的等离子体容积。气体由气体源310供应给受限制的等离子体容积,并通过排气泵320经由限制环302和排气口从受限制的等离子体容积中排出。第一RF源344电连接到上电极304。第二RF源348电连接到下电极308。腔壁352包围限制环302、上电极304、和下电极308。第一RF源344和第二RF源348都可包含27MHz电源和2MHz电源。可以实现将RF电源连接到电极的不同组合。在本发明的优选实施例中可以使用由California,Fremont的LAMResearch CorporationTM制造的Exelan 2300TM
图4A和4B示出计算机系统1300,该计算机系统适合于实现在本发明实施例中所使用的控制器335。图4A示出该计算机系统的一种可能的物理形式。当然,该计算机系统可具有许多物理形式,范围从集成电路、印刷电路板、和小型手持装置直至巨型超级计算机。计算机系统1300包括监视器1302、显示器1304、壳体1306、磁盘驱动器1308、键盘1310、和鼠标1312。磁盘1314为计算机可读介质,用于将数据传送到计算机系统1300以及从计算机系统1300传送数据。
图4B为计算机系统1300的框图的实例。种类广泛的子系统附着到系统总线1320上。处理器1322(也称为中央处理单元或CPU)被耦合到包括存储器1324的存储装置上。存储器1324包含随机存取存储器(RAM)和只读存储器(ROM)。如在本领域中公知的那样,ROM用于将数据和指令单向地传送到CPU,而RAM通常用于双向地传送数据和指令。这些类型的存储器都可以包含下述计算机可读介质中任一适当的计算机可读介质。固定磁盘1326也双向地耦合到CPU 1322上,该固定磁盘提供附加的数据存储容量并且还可包含下述任一计算机可读介质。固定磁盘1326可用于存储程序和数据等,并且固定磁盘1326通常为比主存慢的二级存储介质(例如硬盘)。应理解的是,固定磁盘1326内所保持的信息在适当的情况下可作为虚拟存储器而以标准方式被结合到存储器1324中。可移动磁盘1314可采取下述任一计算机可读介质的形式。
CPU 1322也耦合到各种输入/输出装置、例如显示器1304、键盘1310、鼠标1312、和扬声器1330上。通常,输入/输出装置可以是下述装置中的任意一种:视频显示器、跟踪球、鼠标、键盘、麦克风、触敏显示器、转换器(transducer)卡阅读器、磁带或纸带阅读器、写字板、记录笔、语音或手写识别器、生物读取器、或其它计算机。CPU 1322可选地可以使用网络接口1340而耦合到另一个计算机或远程通信网络。使用这种网络接口,预料到CPU可接收来自网络的信息,或者可在执行上述方法步骤的过程中将信息输出到网络中。此外,可以仅仅在CPU 1322上执行本发明的方法实施例,或者可以在结合参与处理的一部分的远程CPU的、诸如因特网的网络上执行本发明的方法实施例。
此外,本发明的实施例进一步涉及计算机存储产品,该计算机存储产品具有计算机可读介质,该计算机可读介质在其上具有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为了本发明的目的而专门设计和构造的介质和计算机代码,或者它们可以具有对于计算机软件领域中的技术人员来说公知并且可获得的类型。计算机可读介质的实例包含但不限于:磁性介质,例如硬盘、软盘、和磁带;光学介质,例如CD-ROM和全息装置;磁光介质,例如光软盘;以及专门被配置用于存储和执行程序代码的硬件装置,例如专用集成电路(ASIC)、可编程逻辑装置(PLD)、和ROM以及RAM装置。计算机代码的实例包括例如由编译器产生的机器代码、和包含由计算机利用解释器所执行的更高级代码的文件。计算机可读介质还可以是通过包含在载波中的计算机数据信号所传输的并代表可由处理器执行的指令的序列的计算机代码。
其它实例可使用其它沉积装置。在其它实施例中,可使用特殊蚀刻来除去保护壁230而不损伤多孔介电层204。另外,其它实施例可执行蚀刻、沉积保护层、以及在分离的腔内(离位地)剥离。
尽管上面阐述了沟渠的蚀刻,但本发明也可以被用于蚀刻通路或在已经蚀刻了通路之后蚀刻沟渠,或者在首先已经蚀刻了沟渠之后蚀刻通路。在蚀刻了各个特征之后,在光致抗蚀剂被剥离之前可在该特征上形成保护层。在一些情况中,沉积在保护壁上的下一层可以是光致抗蚀剂掩膜。
实例
在本发明的一个实例中,JSR LKD-5109的多孔介电层被旋转涂敷(spin)到衬底上。氧化硅盖层被沉积到该多孔介电层上。盖层厚度为大约500。有机ARC层形成于该盖层上。图形化光致抗蚀剂掩膜形成于该ARC上。在本实例中,光致抗蚀剂是Shipley的193nm PR。
衬底随后被置于Exelan 2300介电蚀刻器中。特征被蚀刻穿过ARC层和多孔介电层。使用具有10sccm的C4F8和12sccm的O2的蚀刻化学物以蚀刻穿过多孔介电层。腔压被设为大约120mTorr。27MHz的RF源提供1000W。2MHz的RF源提供1000W。
在特征被完全蚀刻之后,在该特征上沉积保护层。这在原处完成。使用具有50sccm的C2H4和5sccm的O2的沉积气体化学物,从而在该特征上形成聚合物沉积。腔压被设为大约120mTorr。27MHz的RF源提供500W。2MHz的RF源提供500W。该沉积持续15秒,以提供厚约300的沉积层。
在沉积了保护层之后,剥离光致抗蚀剂掩膜。这在原处完成。使用具有600sccm的H2和200sccm的N2的剥离气体化学物,以剥离该光致抗蚀剂掩膜。腔压被设为大约300mTorr。27MHz的RF源提供400W。2MHz的RF源提供400W。
尽管已经根据几个优选实施例描述了本发明,但存在落在本发明的范围内的变更、置换、和替代等效物。还应注意到,存在许多实现本发明的方法和设备的替代方式。因此以下的所附的权利要求应被理解为包含落在本发明的实际精神和范围内的所有这种变更、置换、修改、和各种替代等效物。

Claims (18)

1.一种在多孔低K介电层内形成特征的方法,包含:
将多孔低K介电层置于衬底上;
将图形化光致抗蚀剂掩膜置于该多孔低K介电层上;
将特征蚀刻到该多孔低K介电层中;
在蚀刻了该特征之后,在该特征上沉积保护层;以及
剥离该图形化光致抗蚀剂掩膜,使得除去该保护层的一部分,其中由该保护层形成的保护壁保留在该特征中。
2.如权利要求1所述的方法,进一步包含:在放置该光致抗蚀剂掩膜之前将盖层置于该多孔低K介电层上,其中该光致抗蚀剂掩膜被置于该盖层之上。
3.如权利要求1-2中任一权利要求所述的方法,进一步包含在该保护壁上沉积沉积层。
4.如权利要求2-3中任一权利要求所述的方法,进一步包含:在放置该光致抗蚀剂掩膜之前,在该盖层上形成抗反射涂层,其中该光致抗蚀剂掩膜被置于该抗反射涂层之上。
5.如权利要求1-4中任一权利要求所述的方法,其中沉积该保护层包含沉积无氟层。
6.如权利要求1-5中任一权利要求所述的方法,其中沉积该保护层进一步包含沉积聚合物层。
7.如权利要求1-6中任一权利要求所述的方法,其中该保护层的厚度在100至1500之间。
8.如权利要求1-7中任一权利要求所述的方法,其中沉积该聚合物层包含提供具有C2H4和O2的沉积气体。
9.如权利要求1-8中任一权利要求所述的方法,其中剥离包含提供从氢气、氮气、氨气和氧气中的至少一种所选择的剥离气体。
10.如权利要求1-9中任一权利要求所述的方法,其中该多孔低K介电层包含纳米尺寸的小孔。
11.如权利要求1-10中任一权利要求所述的方法,其中剥离包含使用离子轰击。
12.如权利要求11所述的方法,其中离子轰击除去该保护层的顶层,但留下由该保护层形成的保护侧壁。
13.如权利要求1-12中任一权利要求所述的方法,其中沉积于该保护壁之上的沉积层为阻挡层。
14.如权利要求1-13中任一权利要求所述的方法,进一步包含将衬底置于蚀刻腔内,其中在该蚀刻腔内在原处完成蚀刻、沉积保护层、以及剥离图形化光致抗蚀剂掩膜。
15.通过如权利要求1-14中任一权利要求所述的方法形成的半导体装置。
16.一种用于通过衬底上的掩膜在多孔低K介电层内蚀刻特征的设备,包含:
等离子体处理腔,包含:
形成等离子体处理腔围壁的腔壁;
用于在该等离子体处理腔围壁内支承衬底的衬底支承装置;
用于调节该等离子体处理腔围壁内的压力的压力调节器;
用于向该等离子体处理腔围壁供电以维持等离子体的至少一个电极;
用于将气体提供到该等离子体处理腔围壁中的气体入口;以及
用于从该等离子体处理腔围壁中排出气体的气体出口;
与该气体入口流体连接的气体源,
控制器,可控制地连接到气体源、至少一个电极、压力调节器、气体入口、以及气体出口中的至少一个上,该控制器包含:
至少一个处理器;以及
计算机可读介质,该计算机可读介质包含:
用于提供蚀刻等离子体以便将特征蚀刻到多孔低K介电层中的计算机可读代码;
用于提供沉积等离子体以便在已经蚀刻了该特征之后在该特征上形成保护层的计算机可读代码;以及
用于从该多孔低K介电层上剥离光致抗蚀剂掩膜的计算机可读代码,其中该剥离除去保护层的一部分并留下由该保护层形成的保护壁。
17.一种在位于衬底上的并被置于图形化光致抗蚀剂掩膜之下的多孔低K介电层中形成特征的方法,包括:
通过该光致抗蚀剂掩膜将特征蚀刻到该多孔低K介电层中;
在蚀刻了该特征之后,在该特征上沉积保护层;以及
剥离该图形化光致抗蚀剂掩膜,使得除去该保护层的一部分,其中由该保护层形成的保护壁保留在该特征中。
18.如权利要求17所述的方法,其中沉积该保护层进一步包含沉积聚合物层。
CNB2004800375736A 2003-12-16 2004-12-01 防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法 Active CN100524668C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/738,280 2003-12-16
US10/738,280 US7081407B2 (en) 2003-12-16 2003-12-16 Method of preventing damage to porous low-k materials during resist stripping

Publications (2)

Publication Number Publication Date
CN1894784A true CN1894784A (zh) 2007-01-10
CN100524668C CN100524668C (zh) 2009-08-05

Family

ID=34654211

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800375736A Active CN100524668C (zh) 2003-12-16 2004-12-01 防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法

Country Status (8)

Country Link
US (2) US7081407B2 (zh)
EP (1) EP1697984A4 (zh)
JP (1) JP4668205B2 (zh)
KR (1) KR101094681B1 (zh)
CN (1) CN100524668C (zh)
IL (1) IL176101A0 (zh)
TW (1) TWI353019B (zh)
WO (1) WO2005060548A2 (zh)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102427055A (zh) * 2011-07-12 2012-04-25 上海华力微电子有限公司 一种采用等离子体处理多孔低k值介质的方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9059263B2 (en) 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210039194A (ko) 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4846129A (en) * 1988-02-09 1989-07-11 Chrysler Motors Corporation Ignition system improvements for internal combustion engines
US5114259A (en) * 1990-06-01 1992-05-19 Meservy Jay A Adjustable writing platform for a notebook
US5419300A (en) * 1992-11-10 1995-05-30 Honda Giken Kogyo Kabushiki Kaisha Ignition coil unit with ignition voltage detective capacitor for internal combustion engine
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
JP3107035B2 (ja) * 1998-03-18 2000-11-06 日本電気株式会社 低雑音増幅器及びその制御回路
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6211063B1 (en) * 1999-05-25 2001-04-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned dual damascene structures
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
JP4427840B2 (ja) * 1999-09-17 2010-03-10 ソニー株式会社 半導体装置及びその製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6180518B1 (en) * 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6653240B2 (en) * 2001-01-12 2003-11-25 International Business Machines Corporation FIB/RIE method for in-line circuit modification of microelectronic chips containing organic dielectric
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
JP3648480B2 (ja) 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
US6800558B1 (en) * 2002-01-10 2004-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist scum for copper dual damascene process
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
AU2003221000A1 (en) * 2002-04-03 2003-10-13 Nec Corporation Semiconductor device and its manufacturing method
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film

Also Published As

Publication number Publication date
JP4668205B2 (ja) 2011-04-13
CN100524668C (zh) 2009-08-05
EP1697984A2 (en) 2006-09-06
KR20060114347A (ko) 2006-11-06
US20060240661A1 (en) 2006-10-26
TWI353019B (en) 2011-11-21
US20050130435A1 (en) 2005-06-16
JP2007514327A (ja) 2007-05-31
EP1697984A4 (en) 2009-12-23
WO2005060548A2 (en) 2005-07-07
WO2005060548A3 (en) 2006-02-23
TW200527532A (en) 2005-08-16
KR101094681B1 (ko) 2011-12-20
IL176101A0 (en) 2006-10-05
US7081407B2 (en) 2006-07-25

Similar Documents

Publication Publication Date Title
CN100524668C (zh) 防止在抗蚀剂剥离过程中对多孔低k材料的损伤的方法
US8124516B2 (en) Trilayer resist organic layer etch
KR101083622B1 (ko) 피쳐 임계 치수의 감소
US8268118B2 (en) Critical dimension reduction and roughness control
US7241683B2 (en) Stabilized photoresist structure for etching process
JP5081917B2 (ja) フッ素除去プロセス
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101711669B1 (ko) 측벽 형성 공정
US7597816B2 (en) Wafer bevel polymer removal
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
WO2006020344A1 (en) Method for stripping photoresist from etched wafer
US20070181530A1 (en) Reducing line edge roughness
WO2009085597A2 (en) Cd bias loading control with arc layer open
KR20070097408A (ko) 균일성 제어를 구비한 에칭
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant