JP4812512B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4812512B2
JP4812512B2 JP2006139693A JP2006139693A JP4812512B2 JP 4812512 B2 JP4812512 B2 JP 4812512B2 JP 2006139693 A JP2006139693 A JP 2006139693A JP 2006139693 A JP2006139693 A JP 2006139693A JP 4812512 B2 JP4812512 B2 JP 4812512B2
Authority
JP
Japan
Prior art keywords
semiconductor substrate
layer
opening
insulating film
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006139693A
Other languages
English (en)
Other versions
JP2007311584A5 (ja
JP2007311584A (ja
Inventor
彰 鈴木
克行 関
工次郎 亀山
貴弘 及川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
On Semiconductor Trading Ltd
Original Assignee
On Semiconductor Trading Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by On Semiconductor Trading Ltd filed Critical On Semiconductor Trading Ltd
Priority to JP2006139693A priority Critical patent/JP4812512B2/ja
Priority to TW096116589A priority patent/TWI365508B/zh
Priority to KR1020070048736A priority patent/KR100864777B1/ko
Priority to US11/802,107 priority patent/US8669183B2/en
Priority to EP07010073A priority patent/EP1858063A3/en
Priority to CN200710104155XA priority patent/CN101075554B/zh
Publication of JP2007311584A publication Critical patent/JP2007311584A/ja
Publication of JP2007311584A5 publication Critical patent/JP2007311584A5/ja
Application granted granted Critical
Publication of JP4812512B2 publication Critical patent/JP4812512B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、半導体装置の製造方法に関し、特に半導体基板の一方の面から他方の面方向に開口部を有する半導体装置の製造方法に関するものである。
従来より、半導体基板の一方の面から他方の面にかけて貫通するビアホール(開口部)を設け、このビアホール内に配線となる導電材料(アルミニウムや銅やタングステンやチタンタングステン等の金属)を形成させることでそれぞれの主面に設けられた導電体を電気的に接続することが行われている。
そして、このようなビアホールの形成法の一つとしてボッシュプロセスが知られている。ボッシュプロセスとは、SFガスを用いて半導体基板の表面を等方性プラズマエッチングするプラズマエッチング工程と、プラズマエッチング工程により形成される溝の内壁にCガスを用いてカーボン高分子を保護膜として堆積させるプラズマデポジション工程の両工程を周期的に繰り返すことによって、半導体基板を垂直に、かつ深くエッチングするプロセスである。
このボッシュプロセスによりエッチングを行うと図14に示すように半導体基板100を貫通するビアホール101が形成されるが、同時にビアホール101内壁面に波状の荒れた形状(以下、スキャロップ形状102と称する)が生じることが知られている。このスキャロップ形状102はプラズマエッチング工程の際の等方性エッチングに起因する。
上述した技術は、例えば以下の特許文献に記載されている。
特開2006−12889号公報
しかしながら、ビアホール101内壁面が上述したスキャロップ形状102であると、その後のビアホール内の加工工程で所望の膜(例えば絶縁膜,バリア層)を均一に形成することが困難であり、これによって半導体装置の信頼性や歩留まりが劣化するという問題があった。
具体的には、例えば図15(a)に示すようにビアホール101内に絶縁膜103を形成し、その上にスパッタリング法によりバリア層104(例えば、チタン層やチタンタングステン層やタンタル層やタンタルナイトライド層)や配線となる導電材料を形成しようとする場合、スキャロップ形状102によってスパッタ粒子が十分に到達出来ない領域があり、当該スパッタ層の厚みが均一にならず被覆不足となる領域があった。特に、ビアホール101のアスペクト比(高さ/開口径)が大きくなると均一な成膜がいっそう困難となり、バリア層104や配線としての機能が著しく低下してしまう。
また、CVD法による成膜も同様であり、スキャロップ形状102によって反応ガスがビアホール101の内壁面に均一に到達せず、当該CVD層が被覆不足になる領域があった。
さらには、被覆不足を回避する観点から必要以上に成膜を行うこともあるため生産性が低下するといった問題や、特定領域で膜厚が過剰に厚くなってしまうという問題があった。
また、スキャロップ形状102は先鋭部を有し、当該先鋭部はその後ビアホール101内に形成される膜の形状に反映される。そのため、図15(b)に示すようにビアホール101内に絶縁膜103、バリア層104、メッキ電極となるシード層105を順に形成し、メッキ法によってビアホール101内に貫通電極106を形成する場合、メッキ工程に際してシード層105の先鋭部107で電荷集中が起きる。そうすると、当該先鋭部107で貫通電極106の材料(例えば銅やアルミニウム)が異常に成長して図15(b)に示すような異常成長部108が生じるという問題がある。また、異常成長部108が更に成長すると対面する貫通電極材料と繋がってしまうため適切なメッキ形成がなされず、貫通電極106が断線したり、貫通電極106内にボイド(空洞)が発生してしまうという問題があった。
また、ボッシュプロセスの際にそのエッチング速度を遅くすることでスキャロップ形状102の発生を抑制することも可能ではあるが、それでは生産性が著しく低下してしまうという問題もある。
そこで、本発明はボッシュプロセスを利用してビアホールを形成する場合にスキャロップ形状が生じても、その後のビアホール内の加工工程で実質的に均一な成膜を行うことが可能な半導体装置の製造方法を提供することを目的とする。
本発明は上記課題に鑑みてなされたものであり、その主な特徴は以下のとおりである。すなわち、本発明の半導体装置の製造方法は、半導体基板をプラズマエッチングするプラズマエッチング工程と、前記プラズマエッチング工程により形成された溝の内壁に保護膜を堆積させるプラズマデポジション工程と、前記プラズマエッチング工程と前記プラズマデポジション工程とを交互に繰り返すことで前記半導体基板に開口部を形成する工程と、前記半導体基板の開口部の内壁を平坦化する工程とを有することを特徴とする。
また、本発明の半導体装置の製造方法は、その一方の主面に第1の絶縁膜を介してパッド電極が形成された半導体基板を準備し、前記半導体基板の他方の主面上であって、前記パッド電極に対応する位置に開口部を有するマスク層を形成する工程と、前記マスク層をマスクとして用いて前記半導体基板をプラズマエッチングするプラズマエッチング工程と、前記プラズマエッチングにより形成された溝の内壁に保護膜を堆積させるプラズマデポジション工程と、前記プラズマエッチング工程と前記プラズマデポジション工程とを交互に繰り返すことで前記半導体基板に前記第1の絶縁膜に至る開口部を形成する工程と、前記半導体基板の開口部の内壁を平坦化する工程とを有することを特徴とする。
また、本発明の半導体装置の製造方法は、前記マスク層を除去する工程と、前記ビアホール底部において前記パッド電極を露出させるために前記第1の絶縁膜を除去する工程とを有し、前記マスク層を除去する工程と、前記第1の絶縁膜を除去する工程と、前記半導体基板の開口部の内壁を平坦化する工程を同一工程で行うことを特徴とする。
更に、本発明の半導体装置の製造方法は、前記半導体基板の開口部の底部において前記パッド電極を露出させるために前記第1の絶縁膜を除去する工程を有し、前記第1の絶縁膜を除去する工程と、前記半導体基板の開口部の内壁を平坦化する工程を同一工程で行うことを特徴とする。
本発明の半導体装置の製造方法では、ビアホール内壁面に生じたスキャロップ形状を改善し平坦化させている。そのため、平坦化後はビアホール内で膜厚均一性の高い成膜を行うことが可能となる。
次に、本発明の実施形態について図面を参照しながら説明する。図1乃至図3は本発明の第1の実施形態に係る半導体装置の製造方法を説明する断面図である。
まず、図1に示すように、その表面に不図示の電子デバイスが形成された半導体基板1を準備する。次に、半導体基板1の裏面上に選択的にマスク層2を形成する。マスク層2はビアホール3を形成するためのマスクとして用いられる層であり、当該機能を有するのであればその材質は特に限定されない。従ってマスク層2はシリコン酸化膜やシリコン窒化膜等の絶縁膜でもよくレジスト層であってもよい。
次に、マスク層2をマスクとしてボッシュプロセスを利用して半導体基板1の所定領域をエッチングする。具体的には例えばICP(BM2304Inductively Coupled Plasma)BM2304型のエッチング装置を用い、圧力:50〜60mTorr,SFガス流量:400〜450scc/min,Oガス流量:35〜40scc/min,ICPパワー:1500〜2000Wのプラズマエッチング工程と、圧力:20〜30mTorr,Cガス流量:150〜200scc/min,ICPパワー:1200〜1700Wのプラズマデポジション工程とを切り替え時間:10/5secのサイクルで行う。
このボッシュプロセスにより図1に示すように半導体基板1を厚さ方向に貫通するビアホール3(開口部)が形成され、同時にビアホール3内壁面がスキャロップ形状4となる。ビアホール3の深さは例えば100μm〜150μm程度であり、スキャロップ形状4の溝の段差は例えば6000Å程度である。なお、SFガスによる横方向へのサイドエッチング量が多いとスキャロップ形状4の段差が大きくなる。
また、便宜上同図はスキャロップ形状4を現実のものよりもかなり誇張して描いてある。また、ビアホール3の底部側(図1の下側)に行くほどエッチングレートは小さくなる。そのため、実際にはビアホール3の底部側の方が上部側(図1の上側)よりもスキャロップ形状4の段差が浅く、平坦に近い状態となっている。なお、同図ではビアホール3がストレート形状であるが、実際のボッシュプロセスではテーパー形状(深さ方向になるについて開口の直径が狭くなる形状)になる傾向がある。
次に、マスク層2を除去する。具体的にはマスク層2がレジスト層であればレジスト除去装置(例えば、プラズマアッシング装置)を用いてOプラズマでアッシングする。また、マスク層2がシリコン酸化膜等の絶縁膜であれば、例えば平行平板型のプラズマエッチング装置や反応性イオンエッチング装置等を用いてマスク層2を除去する。
次に、図2に示すようにドライエッチング法によりスキャロップ形状4を除去し、ビアホール3の内壁を平坦化する。なお、ドライエッチング法は、装置チャンバー内でプラズマを発生させ、その内部で生成したイオンやラジカルを用いてエッチングする方法である。ドライエッチングにはプラズマエッチングや反応性イオンエッチングやケミカルドライエッチング等が含まれる。
具体的には例えば平行平板型プラズマエッチング装置を用いて行う。少なくとも以下の条件、すなわち圧力:50〜150mTorr,CFガス流量:50〜100scc/min,CHFガス流量:0〜25scc/min,パワー:400〜800Wで当該平坦化工程を行うことで、スキャロップ形状4が改善できた。また、スキャロップ形状4の改善の効果を高める観点、つまりビアホール3の内壁をより平坦化させる観点からは低圧,高パワー,CFガスリッチの条件下で行うことが好ましいと推測される。
次に、平坦化されたビアホール3内壁面に絶縁膜,バリア層、シード層,貫通電極の各種の成膜を行うことで半導体基板の一方の主面側と他方の主面側との電気的接続が可能となる。なお、平坦化工程後の詳細な製造工程については第2の実施形態に係る説明の中で記載する。
本実施形態ではビアホール3内壁面のスキャロップ形状が改善されている。そのため、その後ビアホール内で膜厚均一性の高い成膜を行うことが可能となる。例えばスパッタリング工程において、ビアホール3内壁に対するスパッタ粒子の付着量の均一化を図ることができる。また、CVD法の場合も反応ガスが内壁面に均一に到達し、被覆不足を防止できる。
また、スキャロップ形状による被覆不足のおそれがなくなり過剰な成膜を行う必要がなくなる。そのため、生産性が向上しビアホール内に形成される膜の厚さを薄くすることも可能である。
また、スキャロップ形状がその後の成膜に反映されず、メッキ工程の際に電荷集中が起きない。そのため、信頼性の高いメッキが可能である。そして、ビアホール3内壁に形成される各種膜を全て良好に形成できるため、従来の半導体装置に比して信頼性及び歩留まりが向上する。
なお、上記ビアホール3は半導体基板1を貫通するものであったが、貫通せずに半導体基板1の途中にその底部があってもよい。従って、図3(a)に示すように、ボッシュプロセスを用いて半導体基板1の途中までビアホール3を形成し、続いて図3(b)に示すようにスキャロップ形状4の改善を行うことも可能である。
次に、本発明の第2の実施形態について図面を参照して説明する。図4〜図13はそれぞれ製造工程順に示した断面図である。なお、第1の実施形態と同様の構成についてはその説明を省略するか簡略する。
まず、図4に示すように、その表面に不図示の電子デバイス(例えば、CCDや赤外線センサー等の受光素子や発光素子またはその他の半導体素子)が形成された半導体基板5を準備する。半導体基板5は、例えばその口径が8インチ(200mm)サイズであって、300μm〜700μm程度の厚さになっている。そして、半導体基板5の表面に第1の絶縁膜6(例えば、熱酸化法やCVD法によって形成されたシリコン酸化膜やBPSG膜)を例えば2μmの膜厚に形成する。
次に、スパッタリング法やメッキ法、その他の成膜方法によりアルミニウム(Al)やアルミニウム合金や銅(Cu)等の金属層を形成し、その後不図示のレジスト層をマスクとして当該金属層をエッチングしてパターニングする。これにより、第1の絶縁膜6上にパッド電極7が例えば1μmの膜厚で形成される。パッド電極7は半導体基板5上の電子デバイスやその周辺素子と不図示の配線を介して電気的に接続されている。
次に、半導体基板5の表面にパッド電極7の一部上を被覆するパッシベーション膜8(例えばシリコン窒化膜)を例えばCVD法で形成する。次に、パッド電極7を含む半導体基板5の表面上に、エポキシ樹脂,レジスト,アクリル等の接着層9を介して支持体10を貼り合わせる。なお、支持体10はフィルム状の保護テープでもよいし、ガラスや石英,セラミック,プラスチック,金属等の剛性の基板であってもよいし、樹脂から成るものでもよい。また、支持体10は剛性の基板であることが、薄型化される半導体基板5を強固に支え、人手によらない搬送の自動化をする上で好ましい。支持体10は、半導体基板5を支持すると共にその素子表面を保護する機能を有するものである。
次に、半導体基板5の裏面に対して裏面研削装置(グラインダーやエッチング装置)を用いてバックグラインドを行い、半導体基板5の厚さを所定の厚さ(例えば100μm〜150μm程度)に研削する。なお、半導体基板5を薄膜化させる必要がなければバックグラインドを行う必要はない。
次に、図5に示すように、半導体基板5の裏面上に選択的にマスク層11を形成する。マスク層11は、半導体基板5の裏面のうちパッド電極7に対応する位置に開口部を有している。なお、マスク層11は第1の実施形態と同様にシリコン酸化膜やシリコン窒化膜等の絶縁膜でもよくレジスト層であってもよい。
次に、このマスク層11をマスクとしてボッシュプロセスを利用して半導体基板5の所定領域をエッチングする。具体的なボッシュプロセスの条件例は第1の実施形態と同様である。
このボッシュプロセスにより半導体基板5を裏面から表面にかけて貫通し、第1の絶縁膜6を一部露出させるビアホール12(開口部)が形成され、同時にビアホール12内壁面がスキャロップ形状13となる。なお、ビアホール12の開口の直径は例えば30〜50μm程度であり、その深さは例えば100μm〜150μm程度である。
次に、マスク層11を除去する。具体的にはマスク層2がレジスト層であればレジスト除去装置(例えば、プラズマアッシング装置)を用いて例えばOプラズマでアッシングする。また、マスク層11がシリコン酸化膜等の絶縁膜であれば、例えば平行平板型のプラズマエッチング装置や反応性イオンエッチング装置等を用いてマスク層11を除去する。
次に、図6に示すようにドライエッチング法によりスキャロップ形状13を除去し、ビアホール12の内壁面を平坦化する。当該平坦化工程の具体的な条件や装置等の例は第1の実施形態と同様である。続いて、ビアホール12内で露出された第1の絶縁膜6を除去し、パッド電極7を露出させる。
なお、ビアホール12内壁面の平坦化工程と第1の絶縁膜6の除去工程は同一のエッチング装置を用いて同時に行うことも可能である。さらにまた、マスク層11が絶縁膜(シリコン酸化膜など)である場合には、マスク層11の除去と、ビアホール12内壁面の平坦化工程と、第1の絶縁膜6の除去工程とを同一装置を用いて同時に行うことが製造プロセスの合理化を図る上で好ましい。
次に、ビアホール12内を含む半導体基板5の裏面の全面に第2の絶縁膜14(例えば、CVD法によって形成されたシリコン酸化膜やシリコン窒化膜)を形成する。当該第2の絶縁膜14は半導体基板5と導電性部材(後述するバリア層15,シード層16,貫通電極17,配線層18)とを絶縁するものである。
次に、図8に示すように不図示のレジスト層をマスクとしてビアホール12の底部の第2の絶縁膜14をエッチングして除去する。このエッチングにより、パッド電極7が一部露出される。なお、第2の絶縁膜14が半導体基板5の裏面が一番厚く、ビアホール12内の側壁、底部に向かうにしたがって薄く形成される傾向を利用して、マスクなしで当該エッチングを行うこともできる。マスクなしでエッチングすることで製造プロセスの合理化を図ることができる。また、前記第1の絶縁膜6と第2の絶縁膜14とを同一のエッチング工程で除去してもよい。
次に、図9に示すように、ビアホール12内及び半導体基板5の裏面上にバリア層15を形成する。バリア層15はスパッタリング法,PVD法、CVD法、その他の成膜方法によって形成される。また、バリア層15は例えばチタン(Ti)層、チタンナイトライド(TiN)層、タンタル(Ta)層、タンタルナイトライド(TaN)層、チタンタングステン(TiW)層、タングステンナイトライド(WN)、ジルコニウム(Zr)、ジルコニウムナイトライド(ZrN)層等から成る。バリア層15は、後にビアホール12内に形成される貫通電極17の金属材料の拡散防止や、当該金属材料と下層導電体(本実施形態ではパッド電極7)との相互反応防止の役割を有する。なお、これらの役割を有するのであればその材質は特に限定されず単層あるいは積層であってもよい。積層構造は既に説明した材質等の組み合わせから成り、例えばチタン層/チタンナイトライド層である。
次に、同図に示すようにバリア層15上にシード層16を形成する。シード層16は、後述する貫通電極17及び配線層18をメッキ形成するための下地電極となる導電層であり、例えば銅(Cu),ルテニウム(Ru),パラジウム(Pd)等の金属から成る。シード層16は、スパッタリング法,PVD法,CVD法、その他の成膜方法によって形成される。また、シード層16の膜厚は例えば50nm程度である。なお、ビアホール12内壁面は平坦化されているため、従来例に比してバリア層15及びシード層16の被覆性が良い。
次に、同図に示すようにビアホール12内を含むシード層16上に、例えばシード層16をメッキ電極とした電解メッキ法によって銅(Cu)から成る貫通電極17及びこれと連続して接続された配線層18を形成する。貫通電極17及び配線層18は、バリア層15及びシード層16を介してビアホール12の底部で露出するパッド電極7と電気的に接続される。なお、ビアホール12内壁面は平坦化されているため、従来例のような電荷集中は起きず、良好な貫通電極17及び配線層18が形成される。
なお、貫通電極17はビアホール12内に完全に充填されていなくてもよく、図12に示すように不完全に充填されていてもよい。かかる構成によれば、貫通電極17及び配線層18の形成に必要な導電材料を節約するとともに、完全に充填された場合に比して貫通電極17,配線層18を短時間で形成することができるためスループットが向上する利点がある。
次に、図10に示すように、半導体基板5の裏面の配線層18上に配線パターン形成用のレジスト層19を選択的に形成する。次に、レジスト層19をマスクとして不要な部分の配線層18及びシード層16をエッチングして除去する。このエッチングにより、配線層18が所定の配線パターンにパターニングされる。続いて、配線層18をマスクとして半導体基板5の裏面に形成されたバリア層15を選択的にエッチングして除去する。
なお、バリア層15、シード層16,貫通電極17,配線層18の形成は上記工程に限られない。例えば、半導体基板5の裏面上のうちバリア層15や配線層18を形成させない領域にレジスト層等を形成させ、その後このレジスト層等で被覆されていない領域にバリア層15,シード層16,配線層18を形成させることでそのパターニングをしてもよい。かかる工程ではレジスト層19が不要である。
次に、図11に示すように、半導体基板5の裏面上に例えばソルダーレジストのような有機材料やシリコン窒化膜などの無機材料から成る保護層20を形成する。保護層20のうち、導電端子形成領域を開口させ、当該開口で露出する配線層18上にニッケル(Ni)及び金(Au)から成る電極接続層(不図示)を形成する。その後、当該電極接続層上にハンダをスクリーン印刷し、このハンダを熱処理でリフローさせることでボール状の導電端子21を形成する。
なお、導電端子21の形成方法は、ディスペンサを用いてハンダ等から成るボール状端子等を塗布するいわゆるディスペンサ法(塗布法)や電解メッキ法等で形成することもできる。また、他の実施形態として導電端子21を形成させない場合もある。この場合には電極接続層または配線層18が保護層20の開口から露出した状態となる。そして、当該電極接続層または配線層18が他の装置の電極と接続される。
なお、支持体10は半導体基板5に貼り付けたままでもよく、あるいは半導体基板5から剥離させて再利用することも可能である。
以上の工程によって、半導体基板5の表面に形成された下層導電体(パッド電極7)からその裏面に設けられた上層導電体(配線層18,導電端子21)に至るまでの配線がビアホール12を介してなされたチップサイズパッケージ型の半導体装置が完成する。この半導体装置を電子機器に組み込む際には、導電端子21を回路基板上の配線パターンに実装することで外部回路と電気的に接続される。
このように、上記第1及び第2の実施形態によれば、ボッシュプロセスによってビアホール内壁面にスキャロップ形状が生じたとしても、それらを除去し、平坦化している。そのため、ビアホール12内での所望の膜形成を安定して均一に形成することができ、半導体装置の信頼性及び歩留まりを向上させることができる。また、被覆不足のおそれが少ないため、ビアホール内壁の各膜の厚みを薄くすることができ生産性が向上する。
なお、以上の実施形態では、ボール状の導電端子21を有するBGA(Ball Grid Array)型の半導体装置について説明したが、本発明はボール状の導電端子を有さないLGA(Land Grid Array)型やその他のCSP型,フリップチップ型の半導体装置に適用するものであっても構わない。
また、本発明は上記実施形態に限定されることはなくその要旨を逸脱しない範囲で変更が可能であることは言うまでも無い。
例えば上記実施形態では半導体基板5の表面側(素子面側)に支持体が貼り付けられていたが、図13に示すように他方の面側(非素子面側)に支持体10を貼り付けることで所望の半導体装置を製造することも可能である。この半導体装置は、半導体基板5の表面側(素子面側)にパッド電極7,配線層18,導電端子21等が形成されている。この半導体装置を電子機器に組み込む際には、導電端子21を回路基板上の配線パターンに実装することで外部回路と電気的に接続される。また、支持体10を剥離除去した後に、半導体基板5の裏面上であって、貫通電極17に対応する位置の絶縁膜30(例えば、CVD法により形成されたシリコン酸化膜)を開口させ、当該開口に他の半導体装置の導電端子を接続させ、半導体装置の積層を図ることも可能である。なお、図13では、既に説明した構成と同様の構成については同一記号を付しており、その説明については省略する。このように、支持体は半導体基板のいずれの面に貼り付けても構わない。本発明はビアホールを有する半導体装置に関して広く適用できるものである。
本発明の第1の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第1の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置及びその製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 本発明の第2の実施形態に係る半導体装置の製造方法を説明する断面図である。 従来の半導体装置の製造方法を説明する断面図である。 従来の半導体装置の製造方法を説明する断面図である。
符号の説明
1 半導体基板 2 マスク層 3 ビアホール 4 スキャロップ形状
5 半導体基板 6 第1の絶縁膜 7 パッド電極
8 パッシベーション膜 9 接着層 10 支持体 11 マスク層
12 ビアホール 13 スキャロップ形状 14 第2の絶縁膜
15 バリア層 16 シード層 17 貫通電極 18 配線層
19 レジスト層 20 保護層 21 導電端子 30 絶縁膜
100 半導体基板 101 ビアホール 102 スキャロップ形状
103 絶縁膜 104 バリア層 105 シード層
106 貫通電極 107 先鋭部 108 異常成長部

Claims (7)

  1. 半導体基板をプラズマエッチングするプラズマエッチング工程と、
    前記プラズマエッチング工程により形成された溝の内壁に保護膜を堆積させるプラズマデポジション工程と、
    前記プラズマエッチング工程と前記プラズマデポジション工程とを交互に繰り返すことで前記半導体基板に開口部を形成する工程と、
    前記半導体基板の開口部の内壁を等方性プラズマエッチングして平坦化する工程とを有することを特徴とする半導体装置の製造方法。
  2. 前記半導体基板の開口部の内壁上に絶縁膜を形成する工程と、
    前記絶縁膜上に貫通電極を形成する工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
  3. その一方の主面に第1の絶縁膜を介してパッド電極が形成された半導体基板を準備し、
    前記半導体基板の他方の主面上であって、前記パッド電極に対応する位置に開口部を有するマスク層を形成する工程と、
    前記マスク層をマスクとして用いて前記半導体基板をプラズマエッチングするプラズマエッチング工程と、
    前記プラズマエッチングにより形成された溝の内壁に保護膜を堆積させるプラズマデポジション工程と、
    前記プラズマエッチング工程と前記プラズマデポジション工程とを交互に繰り返すことで前記半導体基板に前記第1の絶縁膜に至る開口部を形成する工程と、
    前記半導体基板の開口部の内壁を等方性プラズマエッチングして平坦化する工程とを有することを特徴とする半導体装置の製造方法。
  4. 前記マスク層を除去する工程と、
    前記半導体基板の開口部の底部において前記パッド電極を露出させるために前記第1の絶縁膜を除去する工程とを有し、前記マスク層がシリコン酸化膜若しくはシリコン窒化膜からなるとき、前記マスク層を除去する工程と、前記第1の絶縁膜を除去する工程と、前記半導体基板の開口部の内壁を平坦化する工程、同一装置を用いて同時に行うことを特徴とする請求項3に記載の半導体装置の製造方法。
  5. 前記半導体基板の開口部の底部において前記パッド電極を露出させるために前記第1の絶縁膜を除去する工程を有し、
    前記第1の絶縁膜を除去する工程と、前記半導体基板の開口部の内壁を平坦化する工程、同一装置を用いて同時に行うことを特徴とする請求項3に記載の半導体装置の製造方法。
  6. 前記半導体基板の開口部内に前記パッド電極と電気的に接続された貫通電極を形成する工程を有することを特徴とする請求項3乃至請求項5のいずれかに記載の半導体装置の製造方法。
  7. 前記半導体基板の開口部の内壁を平坦化する工程で用いるエッチングガスが少なくともCF ガスを含むことを特徴とする請求項1乃至請求項6のいずれかに記載の半導体装置の製造方法。
JP2006139693A 2006-05-19 2006-05-19 半導体装置の製造方法 Expired - Fee Related JP4812512B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006139693A JP4812512B2 (ja) 2006-05-19 2006-05-19 半導体装置の製造方法
TW096116589A TWI365508B (en) 2006-05-19 2007-05-10 Manufacturing method of semiconductor device
US11/802,107 US8669183B2 (en) 2006-05-19 2007-05-18 Manufacturing method of semiconductor device
KR1020070048736A KR100864777B1 (ko) 2006-05-19 2007-05-18 반도체 장치의 제조 방법
EP07010073A EP1858063A3 (en) 2006-05-19 2007-05-21 Manufacturing method of semiconductor device
CN200710104155XA CN101075554B (zh) 2006-05-19 2007-05-21 半导体装置的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006139693A JP4812512B2 (ja) 2006-05-19 2006-05-19 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2007311584A JP2007311584A (ja) 2007-11-29
JP2007311584A5 JP2007311584A5 (ja) 2009-06-25
JP4812512B2 true JP4812512B2 (ja) 2011-11-09

Family

ID=38420530

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006139693A Expired - Fee Related JP4812512B2 (ja) 2006-05-19 2006-05-19 半導体装置の製造方法

Country Status (6)

Country Link
US (1) US8669183B2 (ja)
EP (1) EP1858063A3 (ja)
JP (1) JP4812512B2 (ja)
KR (1) KR100864777B1 (ja)
CN (1) CN101075554B (ja)
TW (1) TWI365508B (ja)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5143382B2 (ja) * 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP5117062B2 (ja) * 2007-02-08 2013-01-09 株式会社フジクラ 半導体装置の製造方法
US20090017576A1 (en) * 2007-07-09 2009-01-15 Swarnal Borthakur Semiconductor Processing Methods
JP5536322B2 (ja) * 2007-10-09 2014-07-02 新光電気工業株式会社 基板の製造方法
CN101459066B (zh) 2007-12-13 2010-08-11 中芯国际集成电路制造(上海)有限公司 栅极、浅沟槽隔离区形成方法及硅基材刻蚀表面的平坦化方法
JP5371783B2 (ja) * 2008-01-23 2013-12-18 Jx日鉱日石金属株式会社 バリア層上にルテニウム電気めっき層を有するulsi微細配線部材
DE102008001952A1 (de) * 2008-05-23 2009-11-26 Robert Bosch Gmbh Verfahren zur Herstellung von vereinzelten, auf einem Siliziumsubstrat angeordneten mikromechanischen Bauteilen und hieraus hergestellte Bauteile
US8132321B2 (en) * 2008-08-13 2012-03-13 Unimicron Technology Corp. Method for making embedded circuit structure
US9039908B2 (en) * 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
JP5350859B2 (ja) * 2009-03-30 2013-11-27 シチズンホールディングス株式会社 光学部材および光学装置の製造方法と光学装置
JP2010263145A (ja) * 2009-05-11 2010-11-18 Panasonic Corp 半導体装置及びその製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
EP2306506B1 (en) * 2009-10-01 2013-07-31 ams AG Method of producing a semiconductor device having a through-wafer interconnect
JP5532394B2 (ja) 2009-10-15 2014-06-25 セイコーエプソン株式会社 半導体装置及び回路基板並びに電子機器
KR20110139550A (ko) 2010-06-23 2011-12-29 삼성전자주식회사 반도체 소자의 형성방법
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
US8847400B2 (en) 2010-09-15 2014-09-30 Ps4 Luxco S.A.R.L. Semiconductor device, method for manufacturing the same, and data processing device
US8659152B2 (en) 2010-09-15 2014-02-25 Osamu Fujita Semiconductor device
EP2463896B1 (en) * 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device
CN102130045B (zh) * 2010-12-31 2015-12-02 上海集成电路研发中心有限公司 通孔加工方法
JP2012178520A (ja) * 2011-02-28 2012-09-13 Elpida Memory Inc 半導体装置及びその製造方法
US8871105B2 (en) * 2011-05-12 2014-10-28 Lam Research Corporation Method for achieving smooth side walls after Bosch etch process
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
CN103050434B (zh) * 2011-10-17 2015-09-02 中芯国际集成电路制造(上海)有限公司 硅通孔的刻蚀方法
CN102403217B (zh) * 2011-11-11 2013-11-06 华中科技大学 一种超薄芯片的制备方法
JP5957926B2 (ja) * 2012-02-09 2016-07-27 セイコーエプソン株式会社 半導体装置の製造方法
CN102610560B (zh) * 2012-03-21 2014-03-05 中微半导体设备(上海)有限公司 通孔侧壁形貌修饰方法
JP5916105B2 (ja) * 2012-03-27 2016-05-11 国立大学法人九州工業大学 半導体装置の製造方法
CN102738074B (zh) * 2012-07-05 2014-07-02 中微半导体设备(上海)有限公司 半导体结构的形成方法
JP5955706B2 (ja) * 2012-08-29 2016-07-20 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2014063866A (ja) * 2012-09-21 2014-04-10 Canon Inc シリコン基板の加工方法及び荷電粒子線レンズの製造方法
CN103117203B (zh) * 2013-03-08 2016-08-10 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN104425357B (zh) * 2013-08-27 2017-12-01 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
US9224615B2 (en) * 2013-09-11 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Noble gas bombardment to reduce scallops in bosch etching
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN104617033B (zh) * 2013-11-05 2018-09-14 中芯国际集成电路制造(上海)有限公司 晶圆级封装方法
JP2015153978A (ja) * 2014-02-18 2015-08-24 キヤノン株式会社 貫通配線の作製方法
CN105720003B (zh) * 2014-12-03 2019-01-18 北京北方华创微电子装备有限公司 深硅孔刻蚀方法
CN105845650B (zh) * 2015-01-12 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种硅通孔结构及其制作方法
JP2016174101A (ja) * 2015-03-17 2016-09-29 株式会社東芝 半導体装置およびその製造方法
JP2016001759A (ja) * 2015-09-16 2016-01-07 凸版印刷株式会社 半導体装置
US9892969B2 (en) 2016-05-11 2018-02-13 Semiconductor Components Industries, Llc Process of forming an electronic device
JP6385515B2 (ja) * 2017-04-26 2018-09-05 キヤノン株式会社 半導体装置およびその製造方法
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
JP7073876B2 (ja) 2018-04-16 2022-05-24 株式会社デンソー 半導体装置およびその製造方法
JP6632670B2 (ja) * 2018-08-08 2020-01-22 キヤノン株式会社 半導体装置およびその製造方法
EP3876266A4 (en) 2018-10-31 2022-08-17 Hamamatsu Photonics K.K. METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE, METHOD FOR MANUFACTURING DAMASZEN WIRING STRUCTURE, SEMICONDUCTOR SUBSTRATE AND DAMASZEN WIRING STRUCTURE
JP2020155591A (ja) * 2019-03-20 2020-09-24 株式会社東芝 半導体装置
CN110265347A (zh) 2019-06-06 2019-09-20 深圳市华星光电技术有限公司 一种基板
KR102297835B1 (ko) * 2019-11-21 2021-09-02 (재)한국나노기술원 테이퍼 형태의 경사벽을 갖는 비아 홀 제조 방법
JP2021116309A (ja) * 2020-01-22 2021-08-10 セイコーエプソン株式会社 水系インクジェットインク組成物及びインクジェット記録方法
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612767B2 (ja) 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
US4729815A (en) * 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
JP2877354B2 (ja) * 1989-06-08 1999-03-31 株式会社東芝 表面処理方法および半導体装置の製造方法
JPH1041389A (ja) 1996-07-24 1998-02-13 Sony Corp 半導体装置の製造方法
DE19636890C1 (de) * 1996-09-11 1998-02-12 Bosch Gmbh Robert Übergang von einem Hohlleiter auf eine Streifenleitung
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
ATE352868T1 (de) * 1998-07-23 2007-02-15 Surface Technology Systems Plc Verfahren für anisotropes ätzen
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6841339B2 (en) * 2000-08-09 2005-01-11 Sandia National Laboratories Silicon micro-mold and method for fabrication
JP2002076293A (ja) * 2000-09-01 2002-03-15 Matsushita Electric Ind Co Ltd キャパシタ及び半導体装置の製造方法
US20030000919A1 (en) * 2001-06-29 2003-01-02 Velebir James R. Formation of a smooth surface on an optical component
JP4625229B2 (ja) * 2001-02-15 2011-02-02 アイメック 半導体デバイスの製造方法
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6660642B2 (en) * 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20090065429A9 (en) * 2001-10-22 2009-03-12 Dickensheets David L Stiffened surface micromachined structures and process for fabricating the same
US6586315B1 (en) * 2001-12-21 2003-07-01 Texas Instruments Incorporated Whole wafer MEMS release process
JP3998984B2 (ja) * 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6821901B2 (en) * 2002-02-28 2004-11-23 Seung-Jin Song Method of through-etching substrate
JP4123961B2 (ja) * 2002-03-26 2008-07-23 富士電機デバイステクノロジー株式会社 半導体装置の製造方法
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7045466B2 (en) * 2002-06-27 2006-05-16 Cornell Research Foundation, Inc. Three dimensional high aspect ratio micromachining
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7531842B2 (en) * 2002-12-20 2009-05-12 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US6914007B2 (en) * 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
JP3972846B2 (ja) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
JP4065213B2 (ja) * 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
JP2004326083A (ja) * 2003-04-09 2004-11-18 Seiko Instruments Inc ミラーの製造方法とミラーデバイス
JP4130158B2 (ja) * 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
US7122416B2 (en) * 2003-10-31 2006-10-17 Analog Devices, Inc. Method for forming a filled trench in a semiconductor layer of a semiconductor substrate, and a semiconductor substrate with a semiconductor layer having a filled trench therein
JP3816484B2 (ja) * 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6969568B2 (en) * 2004-01-28 2005-11-29 Freescale Semiconductor, Inc. Method for etching a quartz layer in a photoresistless semiconductor mask
TWI249767B (en) * 2004-02-17 2006-02-21 Sanyo Electric Co Method for making a semiconductor device
JP4850392B2 (ja) * 2004-02-17 2012-01-11 三洋電機株式会社 半導体装置の製造方法
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US7354863B2 (en) * 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
JP2005276877A (ja) * 2004-03-23 2005-10-06 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US20070212888A1 (en) * 2004-03-29 2007-09-13 Sumitomo Precision Products Co., Ltd. Silicon Substrate Etching Method
DE102004015862B4 (de) * 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
TWI272683B (en) * 2004-05-24 2007-02-01 Sanyo Electric Co Semiconductor device and manufacturing method thereof
US7071554B2 (en) * 2004-05-27 2006-07-04 Intel Corporation Stress mitigation layer to reduce under bump stress concentration
JP2006012889A (ja) * 2004-06-22 2006-01-12 Canon Inc 半導体チップの製造方法および半導体装置の製造方法
JP4271625B2 (ja) * 2004-06-30 2009-06-03 株式会社フジクラ 半導体パッケージ及びその製造方法
JP4373866B2 (ja) * 2004-07-16 2009-11-25 三洋電機株式会社 半導体装置の製造方法
JP4376715B2 (ja) * 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
TWI303864B (en) 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
JP4873517B2 (ja) * 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP2006130868A (ja) * 2004-11-09 2006-05-25 Canon Inc インクジェット記録ヘッド及びその製造方法
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
KR101147383B1 (ko) 2005-11-01 2012-05-23 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
JP5143382B2 (ja) 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
KR101092389B1 (ko) * 2007-04-03 2011-12-09 가부시키가이샤 아드반테스트 콘택터의 제조방법
KR101433899B1 (ko) * 2008-04-03 2014-08-29 삼성전자주식회사 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물
US7920770B2 (en) * 2008-05-01 2011-04-05 Massachusetts Institute Of Technology Reduction of substrate optical leakage in integrated photonic circuits through localized substrate removal

Also Published As

Publication number Publication date
EP1858063A2 (en) 2007-11-21
US20070281474A1 (en) 2007-12-06
US8669183B2 (en) 2014-03-11
EP1858063A3 (en) 2010-04-28
TW200802713A (en) 2008-01-01
CN101075554A (zh) 2007-11-21
KR20070112059A (ko) 2007-11-22
KR100864777B1 (ko) 2008-10-22
JP2007311584A (ja) 2007-11-29
CN101075554B (zh) 2010-06-16
TWI365508B (en) 2012-06-01

Similar Documents

Publication Publication Date Title
JP4812512B2 (ja) 半導体装置の製造方法
JP5143382B2 (ja) 半導体装置及びその製造方法
US8169054B2 (en) Semiconductor device and method of manufacturing the same
US7132731B2 (en) Semiconductor component and assembly having female conductive members
US7094701B2 (en) Manufacturing method of semiconductor device
US7582971B2 (en) Semiconductor device and manufacturing method of the same
US8163629B2 (en) Metallization for chip scale packages in wafer level packaging
JP2004228392A (ja) 半導体装置の製造方法および半導体モジュールの製造方法
KR101427015B1 (ko) 반도체 기판들의 비아들 및 도전성 루팅층들
JP2012146800A (ja) 半導体装置及び半導体装置の製造方法
JP2014110284A (ja) 半導体装置の製造方法
JP2009259876A (ja) 半導体装置および半導体装置の製造方法
US11605576B2 (en) Via for semiconductor devices and related methods

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090508

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090508

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20101215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110224

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20110324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110407

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110428

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110603

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20110603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110811

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110823

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees