CN101075554A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN101075554A
CN101075554A CNA200710104155XA CN200710104155A CN101075554A CN 101075554 A CN101075554 A CN 101075554A CN A200710104155X A CNA200710104155X A CN A200710104155XA CN 200710104155 A CN200710104155 A CN 200710104155A CN 101075554 A CN101075554 A CN 101075554A
Authority
CN
China
Prior art keywords
semiconductor substrate
peristome
layer
via hole
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200710104155XA
Other languages
English (en)
Other versions
CN101075554B (zh
Inventor
铃木彰
关克行
龟山工次郎
及川贵弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Electric Co Ltd
System Solutions Co Ltd
On Semiconductor Niigata Co Ltd
Original Assignee
Sanyo Electric Co Ltd
Sanyo Semiconductor Manufacturing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co Ltd, Sanyo Semiconductor Manufacturing Co Ltd filed Critical Sanyo Electric Co Ltd
Publication of CN101075554A publication Critical patent/CN101075554A/zh
Application granted granted Critical
Publication of CN101075554B publication Critical patent/CN101075554B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种半导体装置的制造方法,特别是利用博世工艺形成有通路孔的半导体装置的制造方法,其目的是实现在通路孔内形成均匀的膜。以掩模层(2)作为掩模,利用博世工艺从半导体基板(1)的一面向另一面进行蚀刻,形成贯通该半导体基板(1)的所规定区域的通路孔(3)。接着,除去掩模层(2)。然后,利用干蚀法除去粗糙形状(4),使通路孔(3)的内壁面平坦。接着,在通路孔(3)内使绝缘膜或阻挡层等形成均匀的膜。

Description

半导体装置的制造方法
技术领域
本发明涉及一种半导体装置的制造方法,特别是涉及在从半导体基板的一面到另一面的方向上具有开口部的半导体装置的制造方法。
背景技术
以往,进行有以下处理,设置从半导体基板的一面到另一面贯通的通路孔(开口部),通过在该通路孔内形成作为配线的导电材料(铝、铜、钨或钛钨等金属),使各自的主面上设置的导电体电连接。
而且,作为一种这样的通路孔的形成方法,公知有博世工艺(boshprocess)。博世工艺是通过使等离子蚀刻工序和等离子淀积工序周期性地反复进行而对半导体基板在垂直方向较深地进行蚀刻的工艺。所述等离子蚀刻工序是使用SF6气体对半导体基板的表面进行各向同性等离子蚀刻,所述等离子淀积工序是在所述等离子蚀刻工序形成的槽的内壁上使用C4F8气体使碳高分子作为保护膜而堆积。
众所周知,当通过该博世工艺进行蚀刻时,如图14所示,形成贯通半导体基板100的通路孔101,同时在通路孔101内壁面上产生有波浪状的波痕形状(下面称为粗糙形状(スキャロップ形状)102)。该粗糙形状102是由于等离子蚀刻工序时的各向同性蚀刻而产生的。
上述技术例如在下面的专利文献中有记载。
专利文献1:(日本)特开2006-12889号公报
然而,当通路孔101内壁面是上述粗糙形状102时,在其后的通路孔内的加工工序中要均匀地形成所希望的膜(例如绝缘膜、阻挡层)变得困难,由此,就有半导体装置的可靠性或成品率恶化的问题。
具体地,例如,如图15A所示,当在通路孔101内形成绝缘膜103、在其上通过溅射法堆积作为阻挡层104(例如,钛层、钛钨层、钽层、氮化钽层)或配线的导电材料时,由于粗糙形状102而产生溅射粒子不能充分到达的区域,该溅射层的厚度不均匀,有覆盖不足的区域。特别是,通路孔101的纵横尺寸比(高度/开口直径)变大,形成均匀的膜变得更困难,作为阻挡层104或配线的功能明显降低。
另外,在利用CVD法形成作为阻挡层104或配线的导电材料的情况下也是同样,由于粗糙形状102反应气体不会均匀地到达通路孔101的内壁面,有堆积层覆盖不足的区域。
进一步,从避免覆盖不足的观点出发,会花比平常更进一步地进行成膜工序,因此,就会存在导致生产率低下的问题,或者在特定区域膜的厚度过厚的问题。
另外,粗糙形状102有尖锐部,该尖锐部反映到之后形成在通路孔101内的膜的形状上。因此,如图15B所示,当在通路孔101内依次形成绝缘膜103、阻挡层104、作为电镀电极的籽晶层(シ一ド
Figure A20071010415500051
)105,并通过电镀法在通路孔101内形成贯通电极106的情况下,在电镀工序时在籽晶层105的尖锐部107产生电荷集中。这样,在该尖锐部107贯通电极106的材料(例如铜或铝合金)会异常生长,存在产生如图15B所示的异常生长部108的问题。另外,当异常生长部108进一步生长时,由于与对面的贯通电极材料连接,不会形成适宜的镀层,就有贯通电极106断线或在贯通电极106内产生空洞的问题。
另外,博世工艺时通过使其蚀刻速度变慢可以抑制粗糙形状102的产生,但存在生产率明显降低的问题。
发明内容
于是,本发明的目的在于提供一种半导体装置的制造方法,利用博世工艺形成通路孔时即使产生粗糙形状、也能在其后的通路孔内的加工工序中进行实质均匀的成膜。
本发明是鉴于上述问题而提出的,其主要特征如下。即:本发明的半导体装置的制造方法具有:等离子蚀刻工序,对半导体基板进行等离子蚀刻;等离子淀积工序,在通过所述等离子蚀刻工序形成的槽的内壁上堆积保护膜;形成开口部的工序,通过使所述等离子蚀刻工序和等离子淀积工序相互交替反复进行而在所述半导体基板上形成开口部;平坦化工序,使所述半导体基板的开口部的内壁平坦化。
另外,本发明的半导体装置的制造方法,具有:形成掩模层的工序,准备半导体基板,在其一主面上经由绝缘膜形成有焊盘电极,在所述半导体基板的另一主面上形成在与所述焊盘电极对应的位置具有开口部的掩模层;等离子蚀刻工序,以所述掩模层作为掩模对所述半导体基板进行等离子蚀刻;等离子淀积工序,在通过所述等离子蚀刻工序形成的槽的内壁上堆积保护膜;形成开口部的工序,通过使所述等离子蚀刻工序和等离子淀积工序相互交替反复进行而在所述半导体基板上形成到达所述绝缘膜的开口部;平坦化工序,使所述半导体基板的开口部的内壁平坦化。
另外,本发明的半导体装置的制造方法,具有除去所述掩模层的工序和为了在所述半导体基板的开口部的底部使所述焊盘电极露出而除去所述绝缘膜的工序,除去所述掩模层的工序、除去所述绝缘膜的工序及使所述半导体基板的开口部的内壁平坦化的工序通过同一工序进行。
在本发明的半导体装置的制造方法中,改善在通路孔内壁面上产生的粗糙形状并使其平坦化。因此,平坦化后在通路孔内可以形成膜厚均匀性高的膜。
附图说明
图1是说明本发明的第一实施方式的半导体装置的制造方法的剖面图;
图2是说明本发明的第一实施方式的半导体装置的制造方法的剖面图;
图3A-3B是说明本发明的第一实施方式的半导体装置的制造方法的剖面图;
图4是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图5是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图6是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图7是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图8是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图9是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图10是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图11是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图12是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图13是说明本发明的第二实施方式的半导体装置的制造方法的剖面图;
图14是说明以往的半导体装置的制造方法的剖面图;
图15A-15B是说明以往的半导体装置的制造方法的剖面图。
附图标记
1:半导体基板  2:掩模层  3:通路孔  4:粗糙形状  5:半导体基板  6:第一绝缘膜  7:焊盘电极  8:钝化层  9:粘接层  10:支承体  11:掩模层  12:通路孔  13:粗糙形状  14:第2绝缘膜  15:阻挡层  16:籽晶层  17:贯通电极  18:配线层  19:抗蚀剂层  20:保护层  21:导电端子  30:绝缘膜  100:半导体基板  101:通路孔  102:粗糙形状103:绝缘膜  104:阻挡层  105:籽晶层  106:贯通电极  107:尖锐部108:异常生长部
具体实施方式
接着,参照附图对本发明的实施方式进行说明。图1至图3是说明本发明的第一实施方式的半导体装置的制造方法的剖面图。
首先,如图1所示,准备在其表面形成有未图示的电子设备的半导体基板1。接着,在半导体基板1的背面上有选择地形成掩模层2。掩模层2是用于形成通路孔3而作为掩模使用的层,只要具有该功能其材质并没有特别限定。因此,掩模层2可以是氧化硅膜或氮化硅膜等的绝缘膜,也可以是抗蚀剂层。
接着,以掩模层2作为掩模利用博世工艺对半导体基板1的所规定区域进行蚀刻。具体地,例如使用ICP(Inductively Coupled Plasma:感应耦合等离子)BM2304型的蚀刻装置,将在压力为50~60mTorr、SF6气体流量为400~450scc/min、O2气体流量为35~40scc/min、ICP功率为1500~2000W的条件下进行的等离子蚀刻工序、和在压力为20~30mTorr、C4F8气体流量为150~200scc/min、ICP功率为1200~1700W的条件下进行的等离子淀积工序以切换时间为10sec/5sec进行循环。
如图1所示,利用博世工艺形成贯通半导体基板1厚度方向的通路孔3(开口部),同时,通路孔3的内壁面为粗糙形状4。通路孔3的深度例如为100μm~150μm左右,粗糙形状4的槽的高差例如为6000左右。另外,当通过SF6气体在横向的侧面蚀刻量多时粗糙形状4的高差就变大。
另外,为了方便,在本图上对粗糙形状4进行了比实际夸张的描画。另外,越向通路孔3的底部侧(图1的下侧)蚀刻率变小。因此,实际上,通路孔3的底部侧与上部侧(图1的上侧)相比粗糙形状4的高差变浅,接近于平坦的状态。另外,在本图中,通路孔3为直形状,在实际的博世工艺中有变为锥形状(随深度方向开口直径变窄的形状)的倾向。
接着,除去掩模层2。具体地,如果掩模层2是抗蚀剂层就可以使用抗蚀剂除去装置(例如,等离子灰化装置)通过O2等离子进行灰化。另外,如果掩模层2是氧化硅膜等绝缘膜,例如使用平行平板型等离子蚀刻装置或反应性离子蚀刻装置等除去掩模层2。
接着,如图2所示,通过干蚀刻法除去粗糙形状4,使通路孔3的内壁平坦化。另外,干蚀刻法是在装置容腔内产生等离子、使用在其内部生成的离子或原子团蚀刻的方法。干蚀刻包含等离子蚀刻、反应性离子蚀刻或化学干蚀刻等。
具体地,例如使用平行平板型等离子蚀刻装置而进行。至少在以下的条件、即,在压力为50~150mTorr、CF4气体流量为50~100scc/min、CHF3气体流量为0~25scc/min、功率为400~800W的条件下进行该平坦化工序,由此可以改善粗糙形状4。另外,基于提高粗糙形状4的改善效果的观点、即、使通路孔3的内壁进一步平坦化的观点可以推测,在低压、大功率、CF4气体充足的条件下进行是优选的。
接着,通过在平坦化的通路孔3的内壁面上形成绝缘膜、阻挡层、籽晶层及贯通电极的各种膜,使半导体基板的一主面侧与另一主面侧可以电连接。另外,对于平坦化工序后的详细制造工序在第二实施方式中叙述。
在本实施方式中通路孔3的内壁面的粗糙形状得到改善。因此,其后在通路孔内可以形成膜厚均匀性高的膜。例如,在溅射工序中,可以实现相对通路孔3内壁的溅射粒子的附着量的均匀化。另外,在CVD法的情况下反应气体也可以均匀地到达内壁面,可以防止覆盖不足。
另外,由于粗糙形状不会导致覆盖不足,没有必要进行过度的成膜处理。因此,可以提高生产率,也可以使通路孔内形成的膜的厚度变薄。
另外,粗糙形状没有反应到其后形成的膜上,在电镀工序中不会产生电荷集中。因此,可以进行可靠性高的电镀。而且,由于在通路孔3内壁上形成的各种膜都能良好地形成,与以往的半导体装置相比提高可靠性及成品率。
另外,上述通路孔3是贯通半导体基板1的,但也可以不贯通半导体基板1而在半导体基板内部具有其底部的。因此,如图3A所示,使用博世工艺在半导体基板1内部形成通路孔3,接着,如图3B所示,也可以进行粗糙形状4的改善。
接着,参照附图对本发明的第二实施方式进行说明。图4~图13是表示各自制造工序顺序的剖面图。另外,对于与第一实施方式相同的结构省略或简化其说明。另外,下面说明的制造工序是使用晶片状的半导体基板而进行的,以所规定的切割线为边界多个半导体装置形成为矩阵状,为了方便,对其中的一个半导体装置的形成工序进行说明。
首先,如图4所示,准备其表面形成有未图示的电子设备(例如CCD、红外线传感器等感光元件或发光元件或其他半导体元件)的半导体基板5。半导体基板5例如其开口直径为8英寸(200mm)的尺寸,厚度为300μm~700μm左右。而且,在半导体基板5的表面使第1绝缘膜6(例如,利用热氧化法或CVD法形成的氧化硅膜或BPSG膜)的膜厚形成为例如2μm。
接着,利用溅射法、电镀法或其他成膜方法形成铝(Al)、铝合金或铜(Cu)等金属层,然后,以未图示的抗蚀剂层作为掩模对该金属层进行蚀刻形成图案。由此,在第1绝缘膜6上焊盘电极7例如以1μm的膜厚形成。焊盘电极7经由未图示的配线与半导体基板5上的电子设备或其周边元件电连接。
接着,在半导体基板5的表面例如通过CVD法形成覆盖焊盘电极7的一部分的钝化膜8(例如氮化硅膜)。接着,在含有焊盘电极7的半导体基板5的表面上经由环氧树脂、抗蚀剂、丙烯等粘接层9粘合支承体10。另外,支承体10可以是膜状的保护带,也可以是玻璃、石英、陶瓷、塑料或金属等刚性基板,也可以由树脂形成。另外,支承体10是刚性的基板,牢固地支承薄型化的半导体基板5,优选不用人工的自动化搬送。支承体10在支承半导体基板5的同时还具有保护其元件表面的功能。
接着,对于半导体基板5的背面,使用背研磨装置(研磨机)进行研磨,使半导体基板5的厚度变薄到所规定的厚度(例如100μm~150μm左右)为止。另外,该研磨工序也可以是蚀刻处理,也可以是研磨机与蚀刻处理并用。另外,根据最终产品的用途或规格及准备的半导体基板5当初的厚度,也有不需要进行该研磨工序的情况。
接着,如图5所示,在半导体基板5的背面上有选择地形成掩模层11。掩模层11在半导体基板5的背面对应焊盘电极7的位置具有开口部。另外,掩模层11与第一实施方式相同,可以是氧化硅膜、氮化硅膜等绝缘膜,也可以是抗蚀剂层。
接着,以该掩模层11作为掩模利用博世工艺对半导体基板5的所规定的区域进行蚀刻。具体的博世工艺的条件例如与第一实施方式相同。
通过该博世工艺形成从半导体基板5的背面到表面贯通、使第1绝缘膜6部分露出的通路孔12(开口部),同时,通路孔12的内壁面变为粗糙形状13。另外,通路孔12的开口直径例如为30~50μm左右,其深度例如为100~150μm左右。
接着,除去掩模层11。具体地,如果掩模层11是抗蚀剂层就可以使用抗蚀剂除去装置(例如,等离子灰化装置)例如通过O2等离子进行灰化。另外,如果掩模层11是氧化硅膜等绝缘膜,例如使用平行平板型等离子蚀刻装置或反应性离子蚀刻装置等除去掩模层11。
接着,如图6所示,通过干蚀刻法除去粗糙形状13,使通路孔12的内壁面平坦化。该平坦化工序的具体条件或装置等的例子与第一实施方式相同。接着,除去在通路孔12内露出的第1绝缘膜6,使焊盘电极7露出。
另外,通路孔12的内壁面的平坦化工序与第1绝缘膜6的除去工序也可以使用同一蚀刻装置同时进行。进一步,另外,掩模层11是绝缘膜(氧化硅膜等)的情况下,对于实现制造工艺的合理化,优选掩模层11的除去、通路孔12内壁面的平坦化工序与第1绝缘膜6的除去工序使用同一装置同时进行。
接着,在含有通路孔12内部的半导体基板5的背面的整个面上形成第2绝缘膜14(例如,利用CVD法形成的氧化硅膜或氮化硅膜)。该第2绝缘膜14与半导体基板5及导电性部件(后述的阻挡层15、籽晶层16、贯通电极17或配线层18)绝缘。
接着,如图8所示,以未图示的抗蚀层为掩模对通路孔12的底部的第2绝缘膜14进行蚀刻除去。通过该蚀刻使焊盘电极7部分露出。另外,利用第2绝缘膜14在半导体基板5的背面最厚、随着朝向通路孔12内的侧壁、底部而变薄地形成的倾向,也可以不用掩模进行该蚀刻。通过不用掩模进行蚀刻可以实现制造工艺的合理化。另外,所述第1绝缘膜6和第2绝缘膜14也可以用同一工序除去。
接着,如图9所示,在通路孔12内及半导体基板5的背面上形成阻挡层5。阻挡层15通过溅射法、PVD法、CVD法或其他成膜方法而形成。另外,阻挡层15例如由钛(Ti)层、氮化钛(TiN)层、钽(Ta)层、氮化钽(TaN)层、钛钨(TiW)层、氮化钨(WN)层、锆(Zr)层、氮化锆(ZrN)层等构成。阻挡层15具有防止其后在通路孔12内形成的贯通电极17的金属材料的扩散、防止该金属材料与下层导电体(在本实施方式中为焊盘电极7)相互反应、提高半导体基板5与后述的贯通电极17的粘接性等作用。另外,只要具有这些作用其材料并不特别限定,单层或者积层都可以。积层结构由已经说明的材料等的组合构成,例如钛层/氮化钛层。
接着,如该图所示,在阻挡层15上形成籽晶层16。籽晶层16是用于电镀形成后述的贯通电极17及配线层18的作为下层电极的导电层。例如由铜(Cu)、镥(Ru)、钯(Pb)等金属形成。籽晶层16由溅射法、PVD法、CVD法或其他成膜方法形成。另外,籽晶层16的膜厚例如为50nm左右。另外,由于通路孔12的内壁面被平坦化,因此,与以往相比,阻挡层15及籽晶层16的覆盖性良好。
接着,如该图所示,在含有通路孔12内部的籽晶层16上,形成例如通过以籽晶层16为电镀电极的电解电镀法由铜(Cu)形成的贯通电极17及与其连续连接的配线层18。贯通电极17是在通路孔12内形成的导电层。贯通电极17及配线层18经由阻挡层15及籽晶层16与在通路孔12的底部露出的焊盘电极7电连接。另外,由于通路孔12的内壁面被平坦化,因此,不会产生以往的电荷集中,形成良好的贯通电极17及配线层18。
另外,贯通电极17也可以不是完全填充到通路孔12内,可以为如图12所示的不完全填充。根据该结构,既可以节约形成贯通电极17及配线层18所需要的导电材料,而且,与完全填充的情况相比,由于可以在短时间形成贯通电极17及配线层18,因此,具有提高生产率的优点。
接着,如图10所示,在半导体基板5的背面的配线层18上有选择地形成有配线图形形成用的抗蚀剂层19。接着,以抗蚀剂层19为掩模对不需要部分的配线层18及籽晶层16进行蚀刻、除去。利用该蚀刻,配线层18以所规定的配线图形形成图案。接着,以配线层18为掩模对在半导体基板5的背面形成的阻挡层15进行有选择地蚀刻、除去。
另外,阻挡层15、籽晶层16、贯通电极17、配线层18的形成并不限定于上述工序。例如,通过在半导体基板5的背面中没有形成阻挡层15或配线层18的区域形成抗蚀剂层等、其后在没有被该抗蚀剂层等覆盖的区域形成阻挡层15、薄膜层16、配线层18,也可以形成该图案。在该工序中不需要抗蚀剂层19。
接着,如图11所示,在半导体基板5的背面形成例如由抗焊剂的有机材料或氮化硅膜等的无机材料构成的保护层20。使保护层20中预定形成导电端子的区域开口,在该开口露出的配线层18上形成由镍(Ni)及金(Au)构成的电极连接层(未图示)。然后,在该电极连接层上丝网印刷焊料,通过热处理使该焊料回流形成球状的导电端子21。
另外,导电端子21的形成方法可以是通过使用分配器涂敷由焊料等形成的球状端子等的所谓分配法(涂敷法)或电解电镀法等形成。另外,作为另外的实施方式也可以有不形成导电端子21的情况。在该情况下,电极连接层或配线层18成为从保护层20的开口露出的状态。而且,该电极连接层或配线层18与其他装置的电极连接。
另外,支承体10可以一直粘贴在半导体基板5上,或者也可以从半导体基板5剥离进行再利用。
根据上述工序,完成芯片级封装型半导体装置,其经由通路孔12从半导体基板5的表面形成的下层导电体(焊盘电极7)直到其背面设置的上层导电体(配线层18、导电端子21)形成有配线。在将该半导体装置组装到电子设备上时,通过将导电端子21安装到电路基板上的配线图形上而与外部电路电连接。
这样,根据第一实施方式及第二实施方式,即使利用博世工艺在通路孔内壁面上产生粗糙形状,也能除去该粗糙形状,使其平坦化。因此,可以在通路孔12内稳定、均匀地形成所希望的膜,可以提高半导体装置的可靠性及成品率。另外,由于覆盖不足的可能性变小,因此,可以使通路孔内壁的各种膜的厚度变薄,提高生产率。
另外,在上述实施方式中,对具有球状的导电端子21的BGA(Ball GridArray:球栅阵列)型半导体装置进行了说明,本发明也适用于不具有球状的导电端子的LGA(Land Grid Array:面栅阵列)型、或其他的CSP型、倒装型半导体装置。
另外,本发明并不限定于上述实施方式,在不脱离本发明要旨的范围内可以进行变更。
例如,在上述实施方式中,半导体基板5的表面侧(元件面侧)粘贴有支承体,如图13所示,可以在另一面侧(非元件面侧)粘贴支承体10而制造所希望的半导体装置。该半导体装置在半导体基板5的表面侧(元件面侧)形成焊盘电极7、配线层18、导电端子21等。在将该半导体装置组装到电子设备上时,通过将导电端子21安装到电路基板上的配线图形上而与外部电路电连接。另外,在剥离除去支承体10之后,在半导体基板5的背面上使对应贯通电极17位置的绝缘膜30(例如由CVD法形成的氧化硅膜)开口,在该开口处连接其他的半导体装置的导电端子,也可以实现半导体装置的积层。另外,在图13中,对于已经说明的结构和相同的结构使用相同的附图标记,省略其说明。这样,支承体粘贴在半导体基板的任何一个面上都可以。本发明可以广泛适用于相关的具有通路孔的半导体装置中。

Claims (7)

1.一种半导体装置的制造方法,其特征在于,具有:等离子蚀刻工序,对半导体基板进行等离子蚀刻;等离子淀积工序,在通过所述等离子蚀刻工序形成的槽的内壁上堆积保护膜;形成开口部的工序,通过使所述等离子蚀刻工序和等离子淀积工序相互交替反复进行而在所述半导体基板上形成开口部;平坦化工序,使所述半导体基板的开口部的内壁平坦化。
2.如权利要求1所述的半导体装置的制造方法,其特征在于,具有在所述半导体基板的开口部的内壁上形成绝缘膜的工序和在所述绝缘膜上形成导电层的工序。
3.一种半导体装置的制造方法,其特征在于,具有:
形成掩模层的工序,准备半导体基板,在其一主面上经由绝缘膜形成有焊盘电极,在所述半导体基板的另一主面上形成在与所述焊盘电极对应的位置具有开口部的掩模层;
等离子蚀刻工序,以所述掩模层作为掩模对所述半导体基板进行等离子蚀刻;
等离子淀积工序,在通过所述等离子蚀刻工序形成的槽的内壁上堆积保护膜;
形成开口部的工序,通过使所述等离子蚀刻工序和所述等离子淀积工序相互交替反复进行而在所述半导体基板上形成到达所述绝缘膜的开口部;
平坦化工序,使所述半导体基板的开口部的内壁平坦化。
4.如权利要求3所述的半导体装置的制造方法,其特征在于,具有除去所述掩模层的工序和为了在所述半导体基板的开口部的底部使所述焊盘电极露出而除去所述绝缘膜的工序,除去所述掩模层的工序、除去所述绝缘膜的工序及使所述半导体基板的开口部的内壁平坦化的工序通过同一工序进行。
5.如权利要求3或4所述的半导体装置的制造方法,其特征在于,具有在所述半导体基板的开口部内形成与所述焊盘电极电连接的导电层的工序。
6.如权利要求1~3中任一项所述的半导体装置的制造方法,其特征在于,在使所述半导体基板的开口部的内壁平坦化的工序中,进行干蚀刻。
7.如权利要求1~3中任一项所述的半导体装置的制造方法,其特征在于,在所述半导体基板的开口部的内壁平坦化的工序中使用的蚀刻气体至少包含CF4气体。
CN200710104155XA 2006-05-19 2007-05-21 半导体装置的制造方法 Expired - Fee Related CN101075554B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP139693/06 2006-05-19
JP2006139693A JP4812512B2 (ja) 2006-05-19 2006-05-19 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
CN101075554A true CN101075554A (zh) 2007-11-21
CN101075554B CN101075554B (zh) 2010-06-16

Family

ID=38420530

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710104155XA Expired - Fee Related CN101075554B (zh) 2006-05-19 2007-05-21 半导体装置的制造方法

Country Status (6)

Country Link
US (1) US8669183B2 (zh)
EP (1) EP1858063A3 (zh)
JP (1) JP4812512B2 (zh)
KR (1) KR100864777B1 (zh)
CN (1) CN101075554B (zh)
TW (1) TWI365508B (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459066B (zh) * 2007-12-13 2010-08-11 中芯国际集成电路制造(上海)有限公司 栅极、浅沟槽隔离区形成方法及硅基材刻蚀表面的平坦化方法
CN102036907A (zh) * 2008-05-23 2011-04-27 罗伯特.博世有限公司 用于制造分离地设置在硅基底上的微机械结构部件的方法和由此制造的结构部件
CN102130045A (zh) * 2010-12-31 2011-07-20 上海集成电路研发中心有限公司 通孔加工方法
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
CN101911257B (zh) * 2008-01-23 2012-03-07 日矿金属株式会社 在阻挡层上具有钌电镀层的ulsi微细配线构件
CN102403217A (zh) * 2011-11-11 2012-04-04 华中科技大学 一种超薄芯片的制备方法
US8173543B2 (en) 2006-07-27 2012-05-08 Sanyo Semiconductor Co., Ltd. Method of forming hole in semiconductor device using mask
CN102610560A (zh) * 2012-03-21 2012-07-25 中微半导体设备(上海)有限公司 通孔侧壁形貌修饰方法
CN102738074A (zh) * 2012-07-05 2012-10-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
CN103050434A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 硅通孔的刻蚀方法
CN103117203A (zh) * 2013-03-08 2013-05-22 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN103681616A (zh) * 2012-08-29 2014-03-26 瑞萨电子株式会社 半导体器件及其制造方法
CN104425357A (zh) * 2013-08-27 2015-03-18 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN104617033A (zh) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 晶圆级封装方法
CN110265347A (zh) * 2019-06-06 2019-09-20 深圳市华星光电技术有限公司 一种基板

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5117062B2 (ja) * 2007-02-08 2013-01-09 株式会社フジクラ 半導体装置の製造方法
US20090017576A1 (en) * 2007-07-09 2009-01-15 Swarnal Borthakur Semiconductor Processing Methods
JP5536322B2 (ja) * 2007-10-09 2014-07-02 新光電気工業株式会社 基板の製造方法
US8132321B2 (en) * 2008-08-13 2012-03-13 Unimicron Technology Corp. Method for making embedded circuit structure
US9039908B2 (en) * 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
JP5350859B2 (ja) * 2009-03-30 2013-11-27 シチズンホールディングス株式会社 光学部材および光学装置の製造方法と光学装置
JP2010263145A (ja) * 2009-05-11 2010-11-18 Panasonic Corp 半導体装置及びその製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
EP2306506B1 (en) * 2009-10-01 2013-07-31 ams AG Method of producing a semiconductor device having a through-wafer interconnect
JP5532394B2 (ja) 2009-10-15 2014-06-25 セイコーエプソン株式会社 半導体装置及び回路基板並びに電子機器
KR20110139550A (ko) 2010-06-23 2011-12-29 삼성전자주식회사 반도체 소자의 형성방법
US8659152B2 (en) * 2010-09-15 2014-02-25 Osamu Fujita Semiconductor device
US8847400B2 (en) 2010-09-15 2014-09-30 Ps4 Luxco S.A.R.L. Semiconductor device, method for manufacturing the same, and data processing device
EP2463896B1 (en) * 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device
JP2012178520A (ja) 2011-02-28 2012-09-13 Elpida Memory Inc 半導体装置及びその製造方法
US8871105B2 (en) * 2011-05-12 2014-10-28 Lam Research Corporation Method for achieving smooth side walls after Bosch etch process
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
JP5957926B2 (ja) * 2012-02-09 2016-07-27 セイコーエプソン株式会社 半導体装置の製造方法
JP5916105B2 (ja) * 2012-03-27 2016-05-11 国立大学法人九州工業大学 半導体装置の製造方法
JP2014063866A (ja) * 2012-09-21 2014-04-10 Canon Inc シリコン基板の加工方法及び荷電粒子線レンズの製造方法
US9224615B2 (en) * 2013-09-11 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Noble gas bombardment to reduce scallops in bosch etching
JP2015153978A (ja) * 2014-02-18 2015-08-24 キヤノン株式会社 貫通配線の作製方法
CN105720003B (zh) * 2014-12-03 2019-01-18 北京北方华创微电子装备有限公司 深硅孔刻蚀方法
CN105845650B (zh) * 2015-01-12 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种硅通孔结构及其制作方法
JP2016174101A (ja) * 2015-03-17 2016-09-29 株式会社東芝 半導体装置およびその製造方法
JP2016001759A (ja) * 2015-09-16 2016-01-07 凸版印刷株式会社 半導体装置
US9892969B2 (en) 2016-05-11 2018-02-13 Semiconductor Components Industries, Llc Process of forming an electronic device
JP6385515B2 (ja) * 2017-04-26 2018-09-05 キヤノン株式会社 半導体装置およびその製造方法
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
JP7073876B2 (ja) 2018-04-16 2022-05-24 株式会社デンソー 半導体装置およびその製造方法
JP6632670B2 (ja) * 2018-08-08 2020-01-22 キヤノン株式会社 半導体装置およびその製造方法
JP7431746B2 (ja) 2018-10-31 2024-02-15 浜松ホトニクス株式会社 ダマシン配線構造、アクチュエータ装置、及びダマシン配線構造の製造方法
JP7506604B2 (ja) 2018-10-31 2024-06-26 浜松ホトニクス株式会社 半導体基板の製造方法、ダマシン配線構造の製造方法、半導体基板、及びダマシン配線構造
JP2020155591A (ja) * 2019-03-20 2020-09-24 株式会社東芝 半導体装置
KR102297835B1 (ko) * 2019-11-21 2021-09-02 (재)한국나노기술원 테이퍼 형태의 경사벽을 갖는 비아 홀 제조 방법
JP7490963B2 (ja) * 2020-01-22 2024-05-28 セイコーエプソン株式会社 水系インクジェットインク組成物及びインクジェット記録方法
US11262506B1 (en) * 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
GB2626184A (en) * 2023-01-13 2024-07-17 Oxford Instruments Nanotechnology Tools Ltd Methods of manufacturing superconducting via through semiconductor wafer

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612767B2 (ja) 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
US4729815A (en) * 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
JP2877354B2 (ja) * 1989-06-08 1999-03-31 株式会社東芝 表面処理方法および半導体装置の製造方法
JPH1041389A (ja) 1996-07-24 1998-02-13 Sony Corp 半導体装置の製造方法
DE19636890C1 (de) * 1996-09-11 1998-02-12 Bosch Gmbh Robert Übergang von einem Hohlleiter auf eine Streifenleitung
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
KR100639841B1 (ko) * 1998-07-23 2006-10-27 서페이스 테크놀로지 시스템스 피엘씨 이방성 에칭 장치 및 방법
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6841339B2 (en) * 2000-08-09 2005-01-11 Sandia National Laboratories Silicon micro-mold and method for fabrication
JP2002076293A (ja) * 2000-09-01 2002-03-15 Matsushita Electric Ind Co Ltd キャパシタ及び半導体装置の製造方法
US20030000919A1 (en) * 2001-06-29 2003-01-02 Velebir James R. Formation of a smooth surface on an optical component
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US6660642B2 (en) * 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20090065429A9 (en) * 2001-10-22 2009-03-12 Dickensheets David L Stiffened surface micromachined structures and process for fabricating the same
US6586315B1 (en) * 2001-12-21 2003-07-01 Texas Instruments Incorporated Whole wafer MEMS release process
JP3998984B2 (ja) * 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6821901B2 (en) * 2002-02-28 2004-11-23 Seung-Jin Song Method of through-etching substrate
JP4123961B2 (ja) * 2002-03-26 2008-07-23 富士電機デバイステクノロジー株式会社 半導体装置の製造方法
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US7045466B2 (en) * 2002-06-27 2006-05-16 Cornell Research Foundation, Inc. Three dimensional high aspect ratio micromachining
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
JP2004095849A (ja) * 2002-08-30 2004-03-25 Fujikura Ltd 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7531842B2 (en) * 2002-12-20 2009-05-12 Analog Devices, Inc. Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate
US6914007B2 (en) * 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
JP3972846B2 (ja) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
JP4065213B2 (ja) * 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
JP2004326083A (ja) * 2003-04-09 2004-11-18 Seiko Instruments Inc ミラーの製造方法とミラーデバイス
JP4130158B2 (ja) * 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
US7122416B2 (en) * 2003-10-31 2006-10-17 Analog Devices, Inc. Method for forming a filled trench in a semiconductor layer of a semiconductor substrate, and a semiconductor substrate with a semiconductor layer having a filled trench therein
JP3816484B2 (ja) * 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6969568B2 (en) * 2004-01-28 2005-11-29 Freescale Semiconductor, Inc. Method for etching a quartz layer in a photoresistless semiconductor mask
JP4850392B2 (ja) * 2004-02-17 2012-01-11 三洋電機株式会社 半導体装置の製造方法
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
TWI249767B (en) * 2004-02-17 2006-02-21 Sanyo Electric Co Method for making a semiconductor device
US7354863B2 (en) * 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
JP2005276877A (ja) * 2004-03-23 2005-10-06 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US20070212888A1 (en) * 2004-03-29 2007-09-13 Sumitomo Precision Products Co., Ltd. Silicon Substrate Etching Method
DE102004015862B4 (de) * 2004-03-31 2006-11-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
TWI272683B (en) * 2004-05-24 2007-02-01 Sanyo Electric Co Semiconductor device and manufacturing method thereof
US7071554B2 (en) * 2004-05-27 2006-07-04 Intel Corporation Stress mitigation layer to reduce under bump stress concentration
JP2006012889A (ja) * 2004-06-22 2006-01-12 Canon Inc 半導体チップの製造方法および半導体装置の製造方法
JP4271625B2 (ja) * 2004-06-30 2009-06-03 株式会社フジクラ 半導体パッケージ及びその製造方法
JP4376715B2 (ja) * 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
JP4373866B2 (ja) * 2004-07-16 2009-11-25 三洋電機株式会社 半導体装置の製造方法
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
TWI303864B (en) 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
JP4873517B2 (ja) * 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP2006130868A (ja) * 2004-11-09 2006-05-25 Canon Inc インクジェット記録ヘッド及びその製造方法
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
KR101147383B1 (ko) * 2005-11-01 2012-05-23 매그나칩 반도체 유한회사 반도체 소자의 딥 트렌치 형성 방법
JP5143382B2 (ja) 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
WO2008120547A1 (ja) * 2007-04-03 2008-10-09 Advantest Corporation コンタクタ及びコンタクタの製造方法
KR101433899B1 (ko) * 2008-04-03 2014-08-29 삼성전자주식회사 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물
US7920770B2 (en) * 2008-05-01 2011-04-05 Massachusetts Institute Of Technology Reduction of substrate optical leakage in integrated photonic circuits through localized substrate removal

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8173543B2 (en) 2006-07-27 2012-05-08 Sanyo Semiconductor Co., Ltd. Method of forming hole in semiconductor device using mask
US8377827B2 (en) 2007-12-13 2013-02-19 Semiconductor Manufacturing International (Shanghai) Corporation Methods for forming a gate and a shallow trench isolation region and for planarizing an etched surface of silicon substrate
US8039402B2 (en) 2007-12-13 2011-10-18 Semiconductor Manufacturing International (Shanghai) Corporation Methods for forming a gate and a shallow trench isolation region and for planarizating an etched surface of silicon substrate
CN101459066B (zh) * 2007-12-13 2010-08-11 中芯国际集成电路制造(上海)有限公司 栅极、浅沟槽隔离区形成方法及硅基材刻蚀表面的平坦化方法
US8367554B2 (en) 2007-12-13 2013-02-05 Semiconductor Manufacturing International (Shanghai) Corporation Methods for forming a gate and a shallow trench isolation region and for planarizing an etched surface of silicon substrate
CN101911257B (zh) * 2008-01-23 2012-03-07 日矿金属株式会社 在阻挡层上具有钌电镀层的ulsi微细配线构件
CN102036907A (zh) * 2008-05-23 2011-04-27 罗伯特.博世有限公司 用于制造分离地设置在硅基底上的微机械结构部件的方法和由此制造的结构部件
CN102036907B (zh) * 2008-05-23 2014-11-26 罗伯特.博世有限公司 用于制造分离地设置在硅基底上的微机械结构部件的方法和由此制造的结构部件
CN102315157A (zh) * 2010-08-11 2012-01-11 上海集成电路研发中心有限公司 一种tsv通孔形成方法和tsv通孔修正方法
CN102130045A (zh) * 2010-12-31 2011-07-20 上海集成电路研发中心有限公司 通孔加工方法
CN102130045B (zh) * 2010-12-31 2015-12-02 上海集成电路研发中心有限公司 通孔加工方法
CN103050434B (zh) * 2011-10-17 2015-09-02 中芯国际集成电路制造(上海)有限公司 硅通孔的刻蚀方法
CN103050434A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 硅通孔的刻蚀方法
CN102403217A (zh) * 2011-11-11 2012-04-04 华中科技大学 一种超薄芯片的制备方法
CN102403217B (zh) * 2011-11-11 2013-11-06 华中科技大学 一种超薄芯片的制备方法
CN102610560B (zh) * 2012-03-21 2014-03-05 中微半导体设备(上海)有限公司 通孔侧壁形貌修饰方法
CN102610560A (zh) * 2012-03-21 2012-07-25 中微半导体设备(上海)有限公司 通孔侧壁形貌修饰方法
CN102738074A (zh) * 2012-07-05 2012-10-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
CN103681616A (zh) * 2012-08-29 2014-03-26 瑞萨电子株式会社 半导体器件及其制造方法
CN103681616B (zh) * 2012-08-29 2018-06-26 瑞萨电子株式会社 半导体器件及其制造方法
CN103117203A (zh) * 2013-03-08 2013-05-22 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN103117203B (zh) * 2013-03-08 2016-08-10 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN104425357B (zh) * 2013-08-27 2017-12-01 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN104425357A (zh) * 2013-08-27 2015-03-18 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN104617033A (zh) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 晶圆级封装方法
CN104617033B (zh) * 2013-11-05 2018-09-14 中芯国际集成电路制造(上海)有限公司 晶圆级封装方法
CN110265347A (zh) * 2019-06-06 2019-09-20 深圳市华星光电技术有限公司 一种基板
US11887992B2 (en) 2019-06-06 2024-01-30 Tcl China Star Optoelectronics Technology Co., Ltd. Substrate and display device

Also Published As

Publication number Publication date
KR20070112059A (ko) 2007-11-22
KR100864777B1 (ko) 2008-10-22
TWI365508B (en) 2012-06-01
US20070281474A1 (en) 2007-12-06
JP2007311584A (ja) 2007-11-29
EP1858063A3 (en) 2010-04-28
US8669183B2 (en) 2014-03-11
JP4812512B2 (ja) 2011-11-09
TW200802713A (en) 2008-01-01
CN101075554B (zh) 2010-06-16
EP1858063A2 (en) 2007-11-21

Similar Documents

Publication Publication Date Title
CN101075554A (zh) 半导体装置的制造方法
TWI342588B (en) Semiconductor device and manufacturing method of the same
CN1779960A (zh) 半导体装置及其制造方法
JP5504070B2 (ja) 集積回路構造を形成する方法
US8169054B2 (en) Semiconductor device and method of manufacturing the same
CN1658372A (zh) 半导体装置及其制造方法
CN1534770A (zh) 半导体装置、电路基板以及电子设备
CN1298034C (zh) 半导体封装及其制造方法
CN2585416Y (zh) 半导体芯片与布线基板、半导体晶片、半导体装置、线路基板以及电子机器
TWI710083B (zh) 重配置線路結構、整合扇出型封裝體、金屬特徵及封裝體的製造方法
CN1574257A (zh) 半导体装置及其制造方法
CN1722370A (zh) 半导体装置的制造方法
CN1523665A (zh) 半导体装置及其制造方法
US20200294854A1 (en) Vias and conductive routing layers in semiconductor substrates
CN1855463A (zh) 半导体装置及其制造方法
CN1574324A (zh) 半导体装置及其制造方法
CN1532904A (zh) 半导体器件的制造方法、半导体器件及电子设备
CN1779961A (zh) 半导体装置及其制造方法
TW201108356A (en) Semiconductor device and method of forming cavity in build-up interconnect structure for short signal path between die
CN1697127A (zh) 制造半导体器件的方法
CN1828883A (zh) 半导体装置及其制造方法
TW201121022A (en) Integrated circuit structure
CN1901198A (zh) 电子组件和用于制造电子组件的方法
CN1591789A (zh) 半导体装置的制造方法
CN1685513A (zh) 光电二极管阵列及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20081017

Address after: Osaka, Japan

Applicant after: Sanyo Electric Co.,Ltd.

Co-applicant after: Sanyo Semiconductor Manufacturing Co.,Ltd.

Co-applicant after: Sanyo Semiconductor Co.,Ltd.

Address before: Osaka, Japan

Applicant before: Sanyo Electric Co.,Ltd.

Co-applicant before: Sanyo Semiconductor Manufacturing Co.,Ltd.

C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: NIIGATA SANYO ELECTRIC CO., LTD. SANYO SEMICONDU

Free format text: FORMER OWNER: SANYO SEMICONDUCTOR MANUFACTURING CO., LTD. SANYO SEMICONDUCTOR CO., LTD.

C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Osaka Japan

Co-patentee after: Sanyo Semiconductor Manufacturing Co.,Ltd.

Patentee after: Sanyo Electric Co.,Ltd.

Co-patentee after: Sanyo Semiconductor Co.,Ltd.

Address before: Osaka Japan

Co-patentee before: Niigata SANYO Electric Corp.

Patentee before: Sanyo Electric Co.,Ltd.

Co-patentee before: Sanyo Semiconductor Co.,Ltd.

TR01 Transfer of patent right

Effective date of registration: 20110119

Address after: Osaka Japan

Co-patentee after: Niigata SANYO Electric Corp.

Patentee after: Sanyo Electric Co.,Ltd.

Co-patentee after: Sanyo Semiconductor Co.,Ltd.

Address before: Osaka Japan

Co-patentee before: Sanyo Semiconductor Manufacturing Co.,Ltd.

Patentee before: Sanyo Electric Co.,Ltd.

Co-patentee before: Sanyo Semiconductor Co.,Ltd.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100616

Termination date: 20210521