JP2008198988A - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
JP2008198988A
JP2008198988A JP2007327345A JP2007327345A JP2008198988A JP 2008198988 A JP2008198988 A JP 2008198988A JP 2007327345 A JP2007327345 A JP 2007327345A JP 2007327345 A JP2007327345 A JP 2007327345A JP 2008198988 A JP2008198988 A JP 2008198988A
Authority
JP
Japan
Prior art keywords
plasma
mask pattern
photoresist mask
etching
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007327345A
Other languages
English (en)
Other versions
JP5108489B2 (ja
Inventor
Hisateru Yasui
尚輝 安井
Seiichi Watanabe
成一 渡辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2007327345A priority Critical patent/JP5108489B2/ja
Publication of JP2008198988A publication Critical patent/JP2008198988A/ja
Application granted granted Critical
Publication of JP5108489B2 publication Critical patent/JP5108489B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities

Abstract

【課題】フォトレジストマスクパターンより下層の積層化された薄膜をプラズマ処理する方法において、形成されたパターン側面の凹凸を改善し、LER、LWRを低減する。
【解決手段】半導体基板206の上に積層化された薄膜(ゲート絶縁膜205、導電膜204、マスク層203、反射防止膜202)と、該反射防止膜上に形成されたフォトレジストマスクパターン201を有する被処理材をゲート電極を形成するためにエッチング処理するにあたって、前記マスクパターン201のエッチング処理する前に、窒素ガスまたは、窒素ガスと堆積性ガスとの混合雰囲気をプラズマ化することによって該マスクパターン201にプラズマキュア処理を行い、該マスクパターン201の表面と側面の凹凸を減少させた後、該マスクパターン201より下層の積層化された薄膜202、203、204をプラズマエッチング処理する。
【選択図】図6

Description

本発明は、プラズマ処理方法に係わり、特にプラズマを用いて半導体基板等の表面処理を行うのに好適なプラズマ処理方法に関する。本発明は、特にフォトリソグラフィー技術を用いたMOS(Metal Oxide Semiconductor)型トランジスタのゲート電極の形成に好適なプラズマ処理方法に関する。
一般に、半導体製造工程では、フォトリソグラフィー技術が用いられる。すなわちフォトリソグラフィー技術は、以下の工程を有している。まず、半導体基板上に形成した積層化された薄膜上にフォトレジスト材料を塗布し、露光装置によって紫外線等を照射する。これにより、フォトレジスト材料にフォトマスクの回路パターンを露光により転写し、さらに現像を行う。その後、プラズマを用いたエッチング処理により所望の回路パターンを形成している。フォトリソグラフィー技術における露光処理では、レジスト材料を感光させる紫外線等はレジスト材料の底部にまで十分な光強度をもって到達する必要があるが、薄膜表面での反射や薄膜の段差部等での乱反射等による定在波により、フォトレジスト材料の不必要な部分の感光や感光の不均一が生じる。これによりフォトレジスト材料を現像すると、形成されたフォトレジストの回路パターンの表面や側面に不必要な凹凸が発生する。また、レジストのポリマーサイズの不均一、極性の異なるポリマーの凝集、化学増幅反応における酸拡散の不均一等によってもレジスト表面や側面に不必要な凹凸形成が生じる。
また、現像されたフォトレジストの回路パターンを下地の積層化された薄膜に転写するエッチング処理は、一般にプラズマ処理装置を用いる。プラズマ処理装置では、真空処理室、これに接続されたガス供給装置、真空処理室内の圧力を所望の値に維持する真空排気系、半導体基板である被処理材を載置する電極、真空処理室内にプラズマを発生させるためのプラズマ発生手段などから構成されており、プラズマ発生手段により、シャワープレート等から真空処理室内に供給された処理ガスをプラズマ状態とすることで、半導体基板載置用電極に保持された被処理材のエッチング処理が行われる。
このようなエッチング処理には、大きく分類すると、アイソレーションやトレンチ型キャパシタを形成するための基板シリコンエッチング、コンタクト用のホールやトレンチを形成する絶縁膜エッチング、MOS型トランジスタのゲート電極を形成するポリシリコンエッチングやシリサイドエッチング、High−k/メタルゲートトランジスタを形成するメタル層エッチングや高誘電率ゲート絶縁膜(High−k)エッチング、配線工程におけるメタルエッチング等がある。
半導体製造工程に関し、フォトリソグラフィー技術を用いて、特に超微細ゲート電極を形成する方法については、半導体基板上に絶縁膜、導電層、有機材料層を形成し、有機材料層の上にフォトリソグラフィー技術を用いてマスク寸法βの第1のマスクパターンを形成し、ClとOとの混合ガスを用いて有機材料層をエッチングするとともに第1のマスクパターンをシュリンクさせてマスク寸法γ(<β)の第2のマスクパターンを形成し、第2のマスクパターンを用いて導電層をエッチングして、マスク寸法βより寸法の小さいゲート電極を得ることが提案されている(例えば、特許文献1参照)。
特開2001−308076号公報 J.Plasma Fusion Res. Vol.83、No.4(2007)330−335
前述の通り、一般的なフォトリソグラフィー技術を用いて形成されたフォトレジスト回路パターンには、表面や側面に不必要な凹凸が形成される。この凹凸がある状態で、プラズマエッチング装置を用いて、フォトレジスト回路パターンをマスクとして下地の積層化された薄膜をエッチング処理すると、エッチング処理された下地の薄膜の側面にも、フォトレジストの表面や側面の凹凸と同様の不必要な凹凸が形成されるという問題がある。例えば、半導体製造工程におけるMOS型トランジスタのゲート電極の加工では、ポリシリコン層のエッチング処理において、フォトレジスト表面の凹凸がポリシリコン層の側面にも転写され、ポリシリコン層の側面に数nmの凹凸を形成している。これまでのようにゲート長が数100nm以上である場合には、ポリシリコン層側面の数nmの凹凸はMOS型トランジスタの特性に影響を与えることは少ない。しかし、LSI(Large Scale Integration)の微細化に伴い、ゲート長が数10nmにまで縮小するに従い、エッチング処理によって転写されるポリシリコン層側面の数nmの凹凸が許容できなくなり、MOS型トランジスタの特性に大きな影響を与えるようになってきている。
実際には、ポリシリコン層側面の数nmの凹凸によってトランジスタのゲート長が局所的に短い部分が生じ、短チャンネル効果が引き起こされ、リーク電流の増加やしきい値電圧の低下が生じる。また、複数にわたるトランジスタ間における動作特性においては、ポリシリコン層側面の数nmの凹凸によってゲート長のばらつきが生じ、トランジスタ性能のゆらぎや歩留まり低下を招いている。このようなパターン上のライン側面の凹凸は、LER(Line Edge Roughness)と呼ばれ、またライン幅の凹凸はLWR(Line Width Roughness)と呼ばれ、半導体デバイス特性に大きな影響を与えている。
MOS型トランジスタのポリシリコン電極のエッチング処理におけるLER、LWRの課題について上述したが、次世代MOSトランジスタの構造として挙げられるHigh−k/メタルゲート、3次元構造MOSFET(例えばフィン型FET)についても同様の問題がある。
また、LSIの微細化により、フォトリソグラフィー技術は、アルゴンフッ素レーザ光を用いたドライArF露光、液浸ArF露光が使用され、将来はダブルパターニング技術やEUV(Extream Ultra Violet)露光が予定されている。これらの露光技術に対応するため、フォトレジスト材料は、露光光源に応じて分子構造が改良されている。この分子構造の改良に伴うフォトレジストマスクパターンのプラズマエッチング耐性の低下や初期膜厚の不足が新たな課題となってきている。プラズマエッチング耐性の低下や初期膜厚の不足に起因したLERやLWRが発生し、今後の微細化の加速において、これまで以上に半導体デバイス特性に影響を与えると考えられる。
上述では、MOS型トランジスタのゲート電極形成におけるLER、LWRの影響を例に示したが、同様にホール加工、Deep Trench加工、STI加工、ダマシン加工等でもLER、LWRの問題があり、回路パターンを高精度にエッチング加工することができず、所定の性能の半導体デバイスを製造できないという課題がある。
上述の中でも、フルオロカーボンプラズマを用いた絶縁膜エッチングに関するLER、LWRの問題は、ArF露光用のレジストマスクのプラズマダメージに起因したストライエーションの発生が代表例として挙げられる。一般的に絶縁膜エッチングでは、堆積性の高いフルオロカーボンガスをプラズマ化し、高いエネルギーを有したイオンの入射を利用してエッチングを進行させている。このような高堆積性かつ高エネルギー性のプラズマエッチングにおいては、ArF露光されたレジストマスクの表面ラフネスがエッチングによって増加し、増加した表面ラフネスが被エッチング層である絶縁膜層に転写し、ストライエーションが発生するという課題がある。フルオロカーボンプラズマを用いた絶縁膜エッチングにおいて、エッチングによるフォトレジスト層の表面ラフネス増加を抑制する手段としては、例えば、多層レジストの使用やフォトレジスト表面の材料改質等が示されている。
フルオロカーボンプラズマを用いた絶縁膜エッチングにおけるエッチング中のフォトレジスト層のラフネス増加を抑制する手段としては、非特許文献1などが知られている。
しかし、これらの解決手段は、フルオロカーボンプラズマを用いた絶縁膜エッチングにおけるエッチング中のフォトレジスト層の表面ラフネス増加を抑制する手段である。
前述の通り、微細フォトレジスト回路パターンによるMOS型トランジスタのゲート電極加工のような低堆積性かつ低エネルギー性のプラズマエッチングでは、エッチング処理前のフォトレジスト回路パターンの表面や側壁に形成されている不必要な凹凸に起因するLER、LWRが課題である。絶縁膜エッチングにおける表面ラフネスを抑制する手段は、ゲート電極加工においてエッチング処理前のフォトレジスト回路パターンの表面や側壁に形成されている不必要な凹凸の改善には有効な手段ではない。
このように微細フォトレジスト回路パターンによるMOS型トランジスタのゲート電極加工におけるエッチング処理前のフォトレジスト回路パターンの表面や側壁に形成されている不必要な凹凸に起因するLER、LWRを改善する手段に関しては、未解決である。
そこで本発明では、プラズマ処理装置に係わり、特にMOS型トランジスタのゲート電極の形成において、プラズマを用いて半導体基板上に形成されたフォトレジスト膜の表面や側面の凹凸を改善し、さらにはプラズマ処理された薄膜の側面の凹凸を改善することで、半導体デバイス特性の劣化を防ぐ高精度なエッチング処理が可能なプラズマ処理方法を提供することを目的とする。
本発明では、窒素の元素を含むガスをプラズマ化することによってフォトレジストマスクパターンにプラズマキュア処理(塗布膜や樹脂膜の安定化を目的とし、溶剤の揮発や膜の高密度化や改質を行うプロセスはキュア処理と呼ばれ、特にプラズマを用いたプロセスはプラズマキュア処理と称される。)を行い、該フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、該フォトレジストマスクパターンより下層の該積層化された薄膜をプラズマエッチング処理することで形成されたパターン側面の凹凸の改善ができ、LER、LWRを低減することができる。
さらに、窒素の元素を含むガスをプラズマ化することによって最初にフォトレジストマスクパターンにプラズマキュア処理を行い、該フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターン直下の薄膜層をプラズマエッチング処理し、再度フォトレジストマスクパターンにプラズマキュア処理を行い、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、プラズマエッチング処理を行った薄膜層のさらに下層の薄膜層をプラズマエッチング処理し、フォトレジストマスクパターンのプラズマキュア処理と積層化された薄膜の各層のプラズマエッチング処理を交互に実施することで、積層化された薄膜の側面に形成される凹凸の改善ができ、LER、LWRを低減することができる。
また、本発明では、窒素の元素を含むガスと堆積性ガスとの混合雰囲気をプラズマ化することによって、リソグラフィの解像度向上により薄膜化されたフォトレジストマスクパターンにプラズマキュア処理を行い、プラズマキュア処理中のフォトレジストマスクパターンの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターンより下層の積層化された薄膜をプラズマエッチング処理することで形成されたパターン側面の凹凸の改善ができ、LER、LWRを低減することができる。
さらに、窒素の元素を含むガスと堆積性ガスとの混合雰囲気をプラズマ化することによって最初にリソグラフィの解像度向上により薄膜化されたフォトレジストマスクパターンにプラズマキュア処理を行い、プラズマキュア処理中のフォトレジストマスクパターンの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターン直下の薄膜層をプラズマエッチング処理し、再度フォトレジストマスクパターンにプラズマキュア処理を行い、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、プラズマエッチング処理を行った薄膜層のさらに下層の薄膜層をプラズマエッチング処理し、フォトレジストマスクパターンのプラズマキュア処理と該積層化された薄膜の各層のプラズマエッチング処理を交互に実施することで、積層化された薄膜の側面に形成される凹凸の改善ができ、LER、LWRを低減することができる。
また、該プラズマキュア処理に用いられるガスである窒素の元素を含むガスまたは窒素の元素を含むガスと堆積性ガスとの混合雰囲気に添加ガスとして、臭化水素ガス、希ガス元素を含むガス、ハロゲン元素を含むガスを少なくとも1つ以上用いることで、形成されたパターン側面の凹凸が改善でき、LER、LWRをより低減することが可能となり、半導体デバイス特性を改善することができる。
また、プラズマキュア処理に用いられる堆積性ガスとして、メタン(CH)、トリフルオロメタン(CHF)、ジフルオロメタン(CHF)、フルオロメタン(CHF)、他のフルオロカーボンガス(C)、四フッ化ケイ素(SiF)を少なくとも1つ以上用いることで、プラズマキュア処理中にフォトレジストのフォトレジストマスクパターンの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少でき、LER、LWRを低減することが可能となり、半導体デバイス特性を改善することができる。
プラズマキュア処理におけるプラズマ処理の圧力については、0.1Pa以上100Pa以下が最適で、プラズマ処理中に被処理材に印加するRFバイアス電力に関しては0W以上100W以下とすることで、形成されたパターン側壁の凹凸が改善でき、LER、LWRをより低減することが可能となり、半導体デバイス特性を改善することができる。特にリソグラフィの解像度向上により薄膜化されたフォトレジストマスクパターンにプラズマキュア処理を行う場合には、RFバイアス電力を0Wとすることで、プラズマキュア処理中のフォトレジストマスクパターンの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターンより下層の該積層化された薄膜をプラズマエッチング処理することで形成されたパターン側面の凹凸の改善ができ、LER、LWRを低減することができる。
さらに、フォトレジストマスクパターンのプラズマキュア処理における被処理材の処理温度を20℃以上でかつ200℃以下とすることでLER、LWRをより低減することが可能となり、半導体デバイス特性を改善することができる。
また、プラズマエッチング装置については、ECR(Electron cyclotron Resonance)エッチング装置を用いることで、主要なプラズマ生成領域であるECR面、例えばマイクロ波発振周波数として2.45GHzの場合は磁束密度875Gである領域を磁場コイル電流により任意に制御でき、主要なプラズマ生成領域と被処理材であるウエハとの距離を最適化することで、形成されたパターン側壁の凹凸がさらに改善でき、LER、LWRをより低減することが可能となり、半導体デバイス特性を改善することができる。他のICP(inductively Coupled Plasma)エッチング装置、平行平板プラズマエッチング装置等では、主要なプラズマ生成領域と被処理材であるウエハとの距離を変更できない。上述のようにECRエッチング装置では、該プラズマキュア処理に最適なコイル電流、該プラズマエッチング処理に最適なコイル電流を設定でき、最良のエッチング特性を得ることができる。
以下、本発明の一実施例であるマイクロ波ECRエッチング装置を用いたプラズマ処理方法を図1〜図8により説明する。
図1に、本実施例で使用するプラズマ処理装置を示す。上部が開放された真空容器101の上部に、真空容器101内にエッチングガスを導入するためのシャワープレート102(例えば石英製)、誘電体窓103(例えば石英製)を設置し、密封することにより処理室104を形成する。シャワープレート102にはエッチングガスを流すためのガス供給装置105が接続される。また、真空容器101には真空排気口106を介し真空排気装置(図示省略)が接続されている。
プラズマを生成するための電力を処理室104に伝送するため、誘電体窓103の上方には電磁波を放射する導波管107(またはアンテナ)が設けられる。導波管107(またはアンテナ)へ伝送される電磁波は、電磁波発生用電源109から発振させる。電磁波の周波数は特に限定されないが、本実施例では2.45GHzのマイクロ波を使用する。処理室104の外周部には、磁場を形成する磁場発生コイル110が設けてあり、電磁波発生用電源109より発振された電力は、形成された磁場との相互作用により、処理室104内に高密度プラズマを生成する。
また、シャワープレート102に対向して真空容器101の下部にはウエハ載置用電極111が設けられる。ウエハ載置用電極111は電極表面が溶射膜(図示省略)で被覆されており、高周波フィルター115を介して直流電源116が接続されている。さらに、ウエハ載置用電源111には、マッチング回路113を介してRFバイアス用高周波電源114が接続される。ウエハ載置用電極111には、温度調節器(図示省略)が接続されている。
処理室104内に搬送されたウエハ112は、直流電源116から印加される直流電圧の静電気力でウエハ載置用電極111上に吸着、温度調節され、ガス供給装置105によって所望のエッチングガスを供給した後、真空容器101内を所定の圧力とし、処理室104内にプラズマを発生させる。ウエハ載置用電極111に接続されたRFバイアス用高周波電源114から高周波電力を印加することにより、プラズマからウエハへイオンを引き込み、ウエハ112がエッチング処理される。
次に、プラズマを用いて半導体基板等のエッチング処理を行う従来のプラズマ処理方法を、図2と図3を用いて説明する。図2と図3では、特にMOS型トランジスタのゲート電極の一般的な形成方法を断面図として示す。図2に示すように、半導体基板206にゲート絶縁膜層205を形成し、その上にゲート電極材料を堆積させた導電膜層204を形成する。さらに導電膜層204上に、フォトレジスト材料とは感光特性、現像特性、エッチング特性の異なるマスク層203(例えば、ハードマスク層)を形成する。続いて、フォトレジストを露光する際の反射防止膜として、マスク層203の上に、有機系材料を塗布し反射防止膜202(例えば、BARC(Bottom Anti−Reflection Coating)層、または無機系材料を用いたBARL(Bottom Anti−Reflection Layer)でも良い)を形成する。最後にスピンコートにより反射防止膜202上にレジスト材料を塗布し、ArFレーザ等を用いた投影露光法により回路パターンを露光し、現像することでフォトレジストマスクパターン201を形成する。
一般的な半導体製造工程で用いられるフォトリソグラフィー技術の露光処理では、レジスト材料を感光させる紫外線等はレジスト材料の底部にまで十分な光強度をもって到達する必要があるが、薄膜表面での反射や薄膜の段差部等での乱反射等により定在波が生じ、フォトレジスト材料の不必要な部分の感光や感光の不均一が起こる。これにより感光したフォトレジスト材料を現像すると、図2に示すようにフォトレジストマスクパターンの表面や側面に不必要な凹凸が形成される。また、レジストのポリマーサイズの不均一、極性の異なるポリマーの凝集、化学増幅反応における酸拡散の不均一等によっても図2に示すようにレジストの表面や側面に不必要な凹凸が形成される。
従来のプラズマを用いたエッチング処理方法では、このようにフォトレジストマスクパターン201の表面や側面に凹凸がある状態で、フォトレジストマスクパターンより下層の積層化された薄膜のエッチング処理を行う。例えば、フォトレジストマスクパターン201で覆われていない部分に対して、直下の反射防止膜202に最適なエッチング処理条件(ガス種、圧力、マイクロ波出力、コイル電流、高周波電源出力等)を用いてプラズマ処理を行う。さらに、反射防止膜202より下層のマスク層203、導電膜層204に最適なエッチング処理条件を用いて、順次エッチング処理を行う。このとき、マスク層203をエッチング処理した後、アッシング処理によりフォトレジストマスクパターン層201と反射防止膜202を除去し、さらにマスク層203を用いて導電膜層204をエッチング処理しても良い。
図3に、従来のプラズマ処理方法でエッチング処理を行ったゲート電極のエッチング結果の例を示す。図2で示したようにフォトレジストマスクパターン201の表面や側面に凹凸がある状態で、フォトレジストマスクパターン201の下地である積層化された薄膜をエッチング処理すると、図3のようにフォトレジストマスクパターン201の側面の凹凸が下地の積層化された各薄膜の側面にも転写される。特に、MOS型トランジスタのゲート電極の加工では、導電層であるポリシリコン層の側面に数nmの凹凸が形成される。これまでのようにゲート長が数100nm以上であった場合には、ポリシリコン層側面の数nmの凹凸はMOS型トランジスタの特性に影響を与えることは少ない。しかし、LSIの微細化に伴い、ゲート長が数10nmにまで縮小するに従い、エッチング処理によって転写されるポリシリコン層側面の数nmの凹凸が許容できなくなり、MOSトランジスタの特性に大きな影響を与えている。実際には、数nmの凹凸によってトランジスタのゲート長が局所的に短い部分が生じ、短チャンネル効果が引き起こされ、リーク電流の増加やしきい値電圧の低下が生じる。また、複数にわたるトランジスタ間における動作特性においては、ゲート長のばらつきにより、トランジスタ性能にゆらぎが生じ、歩留まりの低下を招いている。このようなパターン上のライン側面の凹凸はLER、ライン幅の凹凸はLWRと呼ばれ、半導体デバイス特性に大きな影響を与える。
図4に、プラズマを用いてエッチング処理したゲート電極パターンを真上から観察した模式図を示しておく。一般に、ライン幅の凹凸であるLWRは、下記(1)式にて定義される。
Figure 2008198988
ここで、y(x)はライン左側の端形状関数、y(x)はライン右側の端形状関数であり、Nはライン幅のサンプリング数である。また、ライン幅はCD(Critical Dimension)と呼ばれ、ライン幅の平均値であり、下記(2)式で定義される。
Figure 2008198988
[実施例1]本発明の第一の実施例であるプラズマを用いてエッチング処理を行うプラズマ処理方法を、図5から図8を用いて示す。
本発明のプラズマ処理方法では、図2で示したフォトレジストマスクパターン201の表面や側面に凹凸がある状態に対して、フォトレジストマスクパターンより下層の積層化された薄膜のエッチング処理を行う前処理として、フォトレジストマスクパターン201の表面や側面の凹凸を減少させるプラズマキュア処理を実施する。図5に窒素ガスをプラズマ化しプラズマキュア処理を行った後の被処理材の形状を示す。プラズマキュア処理を行うと、フォトレジスト材料の露光、現像によって生じたフォトレジストマスクパターン201の表面や側面の凹凸を減少させることができ、フォトレジストストマスクパターン201の表面や側面の凹凸を減少させた状態でフォトレジストマスクパターン201より下層の積層化された薄膜をエッチング処理することができる。
プラズマキュア処理は、図1のプラズマ処理装置を用いて行われ、処理室内に窒素ガスを所定の圧力に封入し、処理室内にマイクロ波を印加、処理室内にプラズマを生成することで、半導体基板上のフォトレジストマスクがプラズマキュア処理される。プラズマキュア処理におけるプラズマ処理の条件は、プラズマキュア圧力は0.1Pa以上100Pa以下、マイクロ波の出力は100W以上2000W以下、被処理材に印加するRFバイアスパワーは0W以上100W以下である。
また、コイル電流を制御し、主要なプラズマ生成領域(ECR面)とウエハとの距離を調節することで、プラズマキュア処理を最適化できる。
図6に、窒素ガスをプラズマ化したプラズマキュア処理を行いフォトレジストマスクパターン201の表面や側面の凹凸を減少させ、さらにフォトレジストマスクパターン201より下層の積層化された薄膜をエッチング処理した後の被処理材の形状を示す。プラズマキュア処理の後、各薄膜である反射防止膜202、マスク層203、導電膜層204のエッチング処理を行うと各薄膜層の側面の凹凸を減少させることができる。実際には、プラズマキュア処理を行い、続けて直下の反射防止膜202に最適なエッチング処理条件(ガス種、圧力、マイクロ波出力、コイル電流、高周波電源出力等)を用いてエッチング処理を行い、さらに反射防止膜202より下層のマスク層203と導電膜層204に最適なエッチング処理条件を用いて、順次各薄膜層のエッチング処理を行う。これにより各薄膜層の側面の凹凸は減少し、LER、LWRの低減が可能となる。またプラズマキュア処理により、フォトレジストマスクパターン201の表面や側面の凹凸が低減するだけでなく、反射防止膜202の一部がエッチングされても問題無く、同様の効果が得られる。
さらに、窒素ガスをプラズマ化したプラズマキュア処理によりパターン側面の凹凸を低減する場合、各薄膜層をエッチングする前に、プラズマキュア処理を再度実施してもよい。例えば、フォトレジストマスクパターン201にプラズマキュア処理を実施した後、フォトレジストマスクパターン直下の有機層(反射防止膜)202をエッチング処理し、再度フォトレジストマスクパターン201に対してプラズマキュア処理を実施、続けてマスク層203をエッチング処理し、再度プラズマキュア処理を実施、最後に導電膜層204をエッチング処理する。このようなプラズマ処理方法は、最初にフォトレジストマスクパターン201のプラズマキュア処理を行い、フォトレジストマスクパターンの表面や側面の凹凸を減少させても、各薄膜層をエッチング処理することで、フォトレジスト表面や側面に再度凹凸が形成され、この再形成された凹凸が各薄膜層に転写される場合に効果がある。これは、各薄膜層をエッチング処理中に、高エネルギーイオンの入射やエッチングガス中のデポジション成分によって、フォトレジストの表面粗さが悪化することが原因であり、プラズマキュア処理と各薄膜層のエッチング処理を交互に繰り返すことで、再形成された凹凸を低減し各薄膜層の側面の凹凸を低減することが可能となる。
また、図7に、プラズマキュア処理におけるLWR低減効果のガス種依存性を示す。プラズマキュアのガス種は、塩素ガス(Cl)、三塩化ホウ素ガス(BCl)、アンモニアガス(NH)、臭化水素ガス(HBr)、窒素ガス(N)、ヘリウムガス(He)、アルゴンガス(Ar)、酸素ガス(O)、三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)を用いた。ガス種によらず、プラズマキュア処理によりLWR値が低減しているが、窒素ガスを使用したプラズマキュア処理で最もLWRが低減している。窒素ガスに次いで酸素ガス(後述するがCDが大きく減少し消滅する可能性がある)を使用したプラズマキュア処理のLWR低減効果が大きく、ハロゲン元素を含むガス(塩素ガス、三塩化ホウ素ガス)や窒素元素を含むガスであるアンモニアガスやアルゴンガスも効果は小さいがLWRは低減している。これは、フォトレジストを構成する有機ポリマーの一部であるカルボニル基やエステル基と窒素ラジカルや臭化水素ラジカル等が反応しやすく、例えばフォトレジストポリマー中のラクトン基等が脱離しやすくなるためと考えられる。
また、フォトレジストマスクパターンのプラズマキュア処理におけるウエハの処理温度は、ラジカル反応やラクトン基の脱離を考慮すると20℃以上であることが望ましい。さらに、フォトレジスト材料のガラス転移による相変化を考慮するとプラズマキュア処理におけるウエハの処理温度は回路パターン形成後のフォトレジスト材料のガラス転移温度以下、例えば200℃以下であることが望ましい。しかしながら、プラズマキュア処理とプラズマエッチング処理に最適なウエハの処理温度が同じとは限らないため、プラズマキュア処理中とプラズマエッチング処理中のウエハ処理温度を変化させても構わない。
さらに、図8に、プラズマキュア処理におけるCD−biasのガス種依存性を示す。CD−biasは、プラズマキュア処理前のCD(Critical Dimension)とプラズマキュア後のCDの差である。プラズマキュア処理のガス種として酸素ガス、三フッ化窒素ガス、六フッ化硫黄ガスを使用した場合はフォトレジスト材料のエッチングレートが大きく、CDは大きく減少し消滅する可能性がある。また、MOS型トランジスタの形成工程ではゲート長のCDはトランジスタ特性に大きな影響を与えるため、CD−Biasの許容範囲があらかじめ決められている。図8にCD−bias許容範囲(801)の一例を示しておく。図8においてCD−bias許容範囲(801)内で、さらに図7においてプラズマキュア処理でLWRが低減するガス種を選択した場合、窒素ガスを使用したプラズマキュア処理が最もLWRが低減し、次いで臭化水素ガスを使用したプラズマキュア処理のLWRの低減効果が大きい。
よって、窒素ガス、臭化水素ガスの単体ガスによりプラズマキュア処理だけでなく、窒素ガスと臭化水素ガスとの混合ガス、窒素ガスと希ガス元素を含むガスとの混合ガス、窒素ガスとハロゲン元素を含むガスとの混合ガス、窒素ガスと臭化水素ガスと希ガス元素を含むガスとの混合ガス、窒素ガスと臭化水素ガスとハロゲン元素を含むガスとの混合ガス、窒素ガスと臭化水素ガスと希ガス元素を含むガスとハロゲン元素を含むガスとの混合ガスを用いてもプラズマキュア処理による形成パターンの側面の凹凸の低減、LWR値の低減が可能となる。
ただし、臭化水素ガス単体を用いてプラズマキュア処理を実施した場合、プラズマキュア処理中に臭化水素ガスと積層化された薄膜の一部が反応し異物が発生する場合がある。異物は、エッチング処理における歩留まりを悪化させるため、窒素ガスを用いたプラズマキュア処理がより好ましい。
また、一般に臭化水素ガスは窒素ガスに比べて高価であり、臭化水素ガスは人体に対して毒性を示す。エッチング処理のコスト面や環境面の観点からも窒素ガスを用いたプラズマキュア処理がより好ましい。
さらに、フォトレジストマスクパターンに対して窒素ガスとハロゲン元素を含むガスとの混合ガスを用いてプラズマキュア処理を実施することで、CD−biasの増加や減少を抑制でき、フォトレジストマスクパターンのCDに対して高精度にエッチングできるという効果がある。
さらに、フォトレジストマスクパターンに対して窒素ガスと希ガス元素を含むガスとの混合ガスを用いてプラズマキュア処理を実施することで、半導体基板の面内全体で同等のプラズマキュア性能を確保することができるという効果がある。
ここでは、プラズマキュア処理を行う場合のプラズマ処理圧力は、プラズマ生成の効率により大気圧以下であることが重要であるため100Pa以下が望ましい。また極低圧でもプラズマ生成の効率が低下し、さらにレジストポリマーと反応する反応性ラジカル濃度も低下するため0.1Pa以上が望ましい。よって、LWR低減の効果が顕著に現れるのは、0.1Pa以上100Pa以下である。
さらに、プラズマキュア処理を行う場合の被処理材に印加するRFバイアス電力は、0W以上100W以下である必要がある。特にRFバイアス電力は0Wが望ましい。これは高いエネルギーを持ったイオンが入射するとフォトレジスト材料がスパッタエッチングされ回路パターンが消滅するためであり、スパッタエッチングを抑制するためにRFバイアス電力を100W以下とする必要がある。特に、本実施例におけるプラズマキュア処理では、フォトレジスト材料のスパッタエッチングを抑制するためRFバイアス電力は0Wとした。
また、図9に、窒素ガスを用いたプラズマキュア処理におけるLWR値のプラズマキュア処理時間依存性(901)と、臭化水素ガスを用いたプラズマキュア処理におけるLWR値のプラズマキュア処理時間依存性(902)とを示す。窒素ガスと臭化水素ガスによるプラズマキュア処理では、どちらも最初の極短時間でLWR値が大きく改善し、ある程度時間が経過するとLWR値の改善効果は小さくなるが、窒素ガスをプラズマ化することによってプラズマキュア処理を行った方が、LWRの低減効果が大きい。つまり、ある設定したLWR値以下にできるプラズマキュア時間は、窒素ガスを用いた場合の方が短い。設定時間内に半導体基板を処理する能力であるスループットは、窒素ガスを用いたプラズマキュア処理の方が高い。よって、プラズマ処理装置において半導体基板を処理する場合、窒素ガスを用いたプラズマキュア処理を実施することで高スループットかつ高精度のエッチング処理が可能となる。
以上、本実施例の各効果について、特にMOSトランジスタのゲート電極のエッチング処理を例とし、代表的な薄膜層、プラズマエッチング条件、エッチング形状を用いて具体的に説明したが、類似の特性を示す薄膜材料や半導体製造工程であれば、同様の作用効果が得られるのは言うまでもない。
また、上述の実施例では半導体デバイスの前工程を中心に各効果を説明したが、半導体デバイスの後工程(配線接続、スーパーコネクト)、マイクロマシン、MEMS分野(ディスプレイ分野、光スイッチ分野、通信分野、ストレージ分野、センサー分野、イメージャ分野、小型発電機分野、小型燃料電池分野、マイクロプローバ分野、プロセス用ガス制御システム分野、医学バイオ分野の関係含む)等の分野でのエッチング加工技術に適用しても同様の作用効果が得られる。
また、以上の実施例ではマイクロ波ECR放電を利用したエッチング装置を用いたプラズマ処理方法を例に説明したが、他の放電(有磁場UHF放電、容量結合型放電、誘導結合型放電、マグネトロン放電、表面波励起放電、トランスファー・カップルド放電)を利用したドライエッチング装置においても同様の作用効果がある。ただし、ECR放電を用いた場合、主要なプラズマ生成領域とウエハとの距離の制御性、高解離度のプラズマによる反応性ラジカルの密度増加等によって、より高いキュア効果を得ることができることから、より最適なプラズマキュア効果を得るためにはECR放電がより好ましい。
第1の実施例にかかるプラズマ処理方法では、窒素の元素を含むガスによってフォトレジストマスクパターンにプラズマキュア処理を行い、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターンより下層の積層化された薄膜をプラズマエッチング処理する。これにより、形成されたパターン側面の凹凸が改善し、LER、LWRの発生を抑制できるという効果がある。特に、MOS型トランジスタにおけるゲート電極加工において、高精度なエッチング処理が可能となり、半導体デバイス特性が改善するという効果がある。
[実施例2]本発明の第2の実施例を、図10を用いて説明する。第1の実施例と異なる点のみ以下に説明する。図10にプラズマキュア処理のガスとして窒素ガスに堆積性ガスを添加した場合のLWRとフォトレジスト材料の縦エッチングレートの堆積性ガス流量依存性を示す。これまで、堆積性ガスのみでキュア処理を行ってもフォトレジスト中のラクトン基などの脱離は発生せずフォトレジスト表面の凹凸を低減することはできなかった。本実施例では、堆積性ガスとしてメタンガスを希ガスで希釈したガスを用いた。堆積性ガスの添加量を増加させるとプラズマキュア処理中のフォトレジスト材料の縦エッチングレート(1002)が減少する。これに対して堆積性ガスの添加量を増加させてもほとんどLWR(1001)は変化しない。つまり、本実施例のように窒素ガスに堆積性ガスを添加しプラズマキュア処理を実施することで、プラズマキュア処理中のフォトレジストの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少できる。
特にフォトレジストマスクパターンの薄膜化に伴い、プラズマキュア処理中のフォトレジスト材料の縦エッチングレートを抑制し、プラズマキュア処理後にプラズマエッチング処理に必要なフォトレジスト材料の残膜量を確保するため、印加するRFバイアス電力を0Wとする必要性が増している。
前述の課題の通り、今後、さらなる微細化に対応するため、フォトレジスト材料は、さらなるエッチング耐性の低下や初期膜厚の不足が予想され、これらに起因したLERやLWRの発生はこれまで以上に半導体デバイス特性に影響を与えると考えられる。このように微細化が加速した場合でも、本実施例の通り窒素ガスに堆積性ガスを添加しプラズマキュア処理を実施することで、プラズマキュア処理中のフォトレジストの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少できるため、エッチング耐性の低下や初期膜厚の不足を解消できるという効果がある。
また上述の実施例だけでなく、LSIの微細化に伴うフォトレジスト材料の変化(ArFレジスト、液浸ArFレジスト、EUVレジスト、ナノインプリント対応レジスト等)、MOS型トランジスタにおけるゲート材料の変化(メタル層、High−k層等)、フォトリソグラフィーにおける露光技術の変化(ダブルパターニング等)においても、該プラズマキュア処理により、LERやLWRの低減に関し、同様の作用効果が得られる。
第2の実施例のプラズマ処理方法では、窒素の元素を含むガスと堆積性ガスとの混合雰囲気をプラズマ化することによってフォトレジストマスクパターンにプラズマキュア処理を行い、フォトレジストマスクパターンの縦エッチングレートを制御しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、フォトレジストマスクパターンより下層の積層化された薄膜をプラズマエッチング処理する。これにより、形成されたパターン側面の凹凸が改善し、LER、LWRの発生を抑制できるという効果がある。特にMOS型トランジスタにおけるゲート電極加工において、高精度なエッチング処理が可能となり、半導体デバイス特性が改善するという効果がある。
実施例1および実施例2によれば、本発明のプラズマ処理方法は、半導体基板上の積層化された薄膜と、該積層化された薄膜上のフォトレジストマスクパターンとを有する被処理材を、プラズマエッチング装置を用いてプラズマ処理する方法において、該フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、該フォトレジストマスクパターンの下に設けた前記積層化された薄膜層をプラズマ処理する。
本発明の第1の実施例であるマイクロ波ECRエッチング装置の構造の概要を説明する縦断面図。 従来の方法で形成されたフォトレジストパターンの断面形状を模式的に説明する図。 従来の方法でエッチング処理されたパターンの断面形状を模式的に説明する図。 LWRを模式的に説明する図。 本発明の第1の実施例であるプラズマキュア処理後のフォトレジストパターンの断面形状を模式的に説明する図。 本発明の第1の実施であるプラズマキュア処理を用いたプラズマエッチング処理後のパターンの断面形状を模式的に説明する図。 本発明の第1の実施例であるプラズマキュア処理を用いた場合のLWRのプラズマキュアガス種依存性を示す図。 本発明の第1の実施例であるプラズマキュア処理を用いた場合のCD−biasのプラズマキュアガス種依存性を示す図。 本発明の第1の実施例であるプラズマキュア処理で窒素ガスと臭化水素ガスを用いた場合のLWRのプラズマキュア時間依存性を示す図。 本発明の第2の実施例であるプラズマキュア処理で窒素ガスと堆積性ガスとを用いた場合のLWRとプラズマキュア処理中のフォトレジスト材料の縦エッチングレートの堆積性ガス添加割合の依存性を示す図。
符号の説明
101…真空容器、102…シャワープレート、103…誘電体窓、104…処理室、105…ガス供給装置、106…真空排気口、107…導波管、109…電磁波発生用電源、110…磁場発生コイル、111…ウエハ載置用電極、112…ウエハ、113…マッチング回路、114…高周波電源、201…フォトレジストマスクパターン、202…反射防止膜層、203…マスク層、204…導電層、205…絶縁膜層、206…半導体基板、802…CD−bias許容範囲、901…窒素ガスによるプラズマキュア処理でのLWRの時間依存性、902…臭化水素ガスによるプラズマキュア処理でのLWRの時間依存性、1001・・・窒素ガスと堆積性ガスとの混合雰囲気によるプラズマキュア処理でのLWRの堆積性ガス添加割合依存性、1002・・・窒素ガスと堆積性ガスとの混合雰囲気によるプラズマキュア処理でのフォトレジスト材料の縦エッチングレートの堆積性ガス添加割合依存性。

Claims (16)

  1. 半導体基板上に積層された複数層の薄膜と、積層化された薄膜の上に設けたフォトレジストマスクパターンとを有する被処理材を、ゲート電極を形成するためにプラズマエッチング装置を用いてプラズマ処理する方法において、
    窒素の元素を含むガスをプラズマ化することによって前記フォトレジストマスクパターンにプラズマキュア処理を行いフォトレジストマスクパターンの表面と側面の凹凸を減少させるキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いて該フォトレジストマスクパターンの下に設けた積層化された薄膜層をプラズマエッチング処理するエッチング処理工程とを備えた
    ことを特徴とするプラズマ処理方法。
  2. 半導体基板上に積層された複数層の薄膜と、積層化された薄膜の上に設けたフォトレジストマスクパターンとを有する被処理材を、ゲート電極を形成するためにプラズマエッチング装置を用いてプラズマ処理する方法において、
    窒素の元素を含むガスをプラズマ化することによって前記フォトレジストマスクパターンにプラズマキュア処理を行い、前記フォトレジストマスクパターンの表面と側面の凹凸を減少させたる第1のキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いて前記フォトレジストマスクパターン直下の薄膜層をプラズマエッチング処理する第1のエッチング処理工程と、
    前記フォトレジストマスクパターンに再度プラズマキュア処理を行いフォトレジストマスクパターン表面と側面の凹凸を減少させる第2のキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いてプラズマエッチング処理を行った前記薄膜層のさらに下に設けた薄膜層をプラズマエッチング処理する第2のエッチング処理工程と、
    を有し、これ以降、前記フォトレジストマスクパターンの第2のプラズマキュア処理工程と積層化された薄膜の第2のプラズマエッチング処理工程を交互に実施する
    ことを特徴とするプラズマ処理方法。
  3. 半導体基板上に積層された複数層の薄膜と、積層化された薄膜の上に設けたフォトレジストマスクパターンとを有する被処理材を、ゲート電極を形成するためにプラズマエッチング装置を用いてプラズマ処理する方法において、
    窒素の元素を含むガスと堆積性ガスとの混合雰囲気をプラズマ化することによって前記フォトレジストマスクパターンにプラズマキュア処理を行い、プラズマキュア処理中のフォトレジストマスクパターンの縦エッチングレートを抑制しながらフォトレジストマスクパターンの表面と側面の凹凸を減少させるキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いてフォトレジストマスクパターンの下に設けた積層化された薄膜層をプラズマエッチング処理するエッチング処理工程とを有する
    ことを特徴とするプラズマ処理方法。
  4. 半導体基板上に積層された複数層の薄膜と、積層化された薄膜の上に設けたフォトレジストマスクパターンとを有する被処理材を、ゲート電極を形成するためにプラズマエッチング装置を用いてプラズマ処理する方法において、
    窒素の元素を含むガスと堆積性ガスとの混合雰囲気をプラズマ化することによって前記フォトレジストマスクパターンにプラズマキュア処理を行い、プラズマキュア処理中のフォトレジストマスクパターンの縦エッチングレートを抑制しながら、フォトレジストマスクパターンの表面と側面の凹凸を減少させる第1のキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いてフォトレジストマスクパターンの直下に設けた薄膜層をプラズマエッチング処理する第1のエッチング処理工程と、
    前記フォトレジストマスクパターンに再度プラズマキュア処理を行い、前記フォトレジストマスクパターン表面と側面の凹凸を減少させる第2のキュア処理工程と、
    表面と側面の凹凸を減少させたフォトレジストマスクパターンを用いてプラズマエッチング処理を行った前記薄膜層のさらに下に設けた薄膜層をプラズマエッチング処理する譜代2のエッチング処理工程と、
    を有し、これ以降、前記フォトレジストマスクパターンの第2のプラズマキュア処理工程と積層化された薄膜の第2のプラズマエッチング処理工程を交互に実施する
    ことを特徴とするプラズマ処理方法。
  5. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記窒素の元素を含むガスは、窒素ガス(N)である
    ことを特徴とするプラズマ処理方法。
  6. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記プラズマキュア処理に用いられるガスに臭化水素または希ガス元素もしくはハロゲン元素のガスの内の少なくともいずれか1以上のガスを添加し、前記フォトレジストマスクパターンにプラズマキュア処理を行い、前記フォトレジストマスクパターンの表面と側面の凹凸を減少させる
    ことを特徴とするプラズマ処理方法。
  7. 請求項3または請求項4記載のプラズマ処理方法において、
    前記堆積性ガスは、メタン(CH)、トリフルオロメタン(CHF)、ジフルオロメタン(CH)、フルオロメタン(CHF)、他のフルオロカーボンガス(C)、四フッ化ケイ素(SiF)の中から少なくとも1種以上のガスを含む
    ことを特徴とするプラズマ処理方法。
  8. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記積層化された薄膜は、多結晶Si層、単結晶Si層、Si化合物、金属層、金属化合物層の内の少なくとも1層以上含む
    ことを特徴とするプラズマ処理方法。
  9. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記積層化された薄膜は、MOS(Metal Oxide Semiconductor)トランジスタのゲート電極を形成する層を少なくとも1層以上含む
    ことを特徴とするプラズマ処理方法。
  10. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記該プラズマエッチング処理に用いられるガスは、塩素、臭化水素、六フッ化硫黄、四フッ化炭素、三塩化ホウ素、三フッ化窒素、酸素、希ガスの内の少なくとも1種以上のガスを含む
    ことを特徴とするプラズマ処理方法。
  11. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記フォトレジストマスクパターンのプラズマキュア処理圧力は、0.1Pa以上100Pa以下である
    ことを特徴とするプラズマ処理方法。
  12. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記フォトレジストマスクパターンのプラズマキュア処理における被処理材に印加するRFバイアス電力は、0W以上100W以下である
    ことを特徴とするプラズマ処理方法。
  13. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記フォトレジストマスクパターンのプラズマキュア処理における被処理材に印加するRFバイアス電力を特に0Wとし、前記プラズマキュア処理中の前記フォトレジストマスクパターンの縦エッチングレートを抑制しながら、前記フォトレジストマスクパターンの表面と側面の凹凸を減少させた後、前記フォトレジストマスクパターンの下に設けた前記積層化された薄膜層をプラズマエッチング処理する
    ことを特徴とするプラズマ処理方法。
  14. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において
    前記フォトレジストマスクパターンのプラズマキュア処理における被処理材の処理温度は、20℃以上でかつ200℃以下である
    ことを特徴とするプラズマ処理方法。
  15. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記フォトレジストマスクパターンの前記プラズマキュア処理における被処理材の処理温度と前記プラズマエッチング処理における被処理材の処理温度を異ならせた
    ことを特徴とするプラズマ処理方法。
  16. 請求項1ないし請求項4のいずれか1項記載のプラズマ処理方法において、
    前記プラズマエッチング装置は、ECR(Electron Cyclotron Resonance)エッチング装置である
    ことを特徴とするプラズマ処理方法。
JP2007327345A 2007-01-16 2007-12-19 プラズマ処理方法 Active JP5108489B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007327345A JP5108489B2 (ja) 2007-01-16 2007-12-19 プラズマ処理方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007007437 2007-01-16
JP2007007437 2007-01-16
JP2007327345A JP5108489B2 (ja) 2007-01-16 2007-12-19 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2008198988A true JP2008198988A (ja) 2008-08-28
JP5108489B2 JP5108489B2 (ja) 2012-12-26

Family

ID=39668480

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007327345A Active JP5108489B2 (ja) 2007-01-16 2007-12-19 プラズマ処理方法

Country Status (4)

Country Link
US (1) US8497213B2 (ja)
JP (1) JP5108489B2 (ja)
KR (1) KR100918864B1 (ja)
TW (1) TW200845185A (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010192750A (ja) * 2009-02-19 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
JP2012209290A (ja) * 2011-03-29 2012-10-25 Fujifilm Corp レジストパターン形成方法およびそれを用いたパターン化基板の製造方法
JP2013527595A (ja) * 2010-03-15 2013-06-27 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオン注入を用いて基板のパターン化特徴を変更するための方法及びシステム
US8513134B2 (en) 2010-07-21 2013-08-20 Kabushiki Kaisha Toshiba Semiconductor device producing method
US8551689B2 (en) 2010-05-27 2013-10-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices using photolithography
JP2013542613A (ja) * 2010-10-27 2013-11-21 アプライド マテリアルズ インコーポレイテッド フォトレジスト線幅の荒れを制御するための方法及び装置
JP2013546165A (ja) * 2010-10-01 2013-12-26 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レジストフィーチャの表面粗度を低減する方法、パターニングレジストフィーチャの粗度を修正する方法、および、レジストフィーチャを処理するシステム
WO2014002808A1 (ja) * 2012-06-25 2014-01-03 東京エレクトロン株式会社 レジストマスクの処理方法
JP2014036139A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2014096500A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2014515889A (ja) * 2011-05-03 2014-07-03 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド レジスト形状におけるクリティカルディメンション及びラフネスの制御方法及び制御システム
JP2015012178A (ja) * 2013-06-28 2015-01-19 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP2015115410A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 エッチング方法
JP2017147314A (ja) * 2016-02-17 2017-08-24 東京エレクトロン株式会社 パターン形成方法
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010062212A (ja) * 2008-09-01 2010-03-18 Oki Semiconductor Co Ltd 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8529776B2 (en) 2011-07-25 2013-09-10 Applied Materials, Inc. High lateral to vertical ratio etch process for device manufacturing
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8901820B2 (en) * 2012-01-31 2014-12-02 Varian Semiconductor Equipment Associates, Inc. Ribbon antenna for versatile operation and efficient RF power coupling
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
JP2014035887A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd プラズマ処理装置、および高周波発生器
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103855009B (zh) 2012-11-30 2017-06-13 中国科学院微电子研究所 鳍结构制造方法
US9142417B2 (en) * 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140264655A1 (en) * 2013-03-13 2014-09-18 Invensense, Inc. Surface roughening to reduce adhesion in an integrated mems device
US8835879B1 (en) * 2013-06-03 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Reduction of deposition by separation of ion beam and neutral flow
KR20150015978A (ko) * 2013-08-02 2015-02-11 삼성디스플레이 주식회사 표시 장치의 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102148336B1 (ko) * 2013-11-26 2020-08-27 삼성전자주식회사 표면 처리 방법, 반도체 제조 방법 및 이에 의해 제조된 반도체 장치
KR20150093618A (ko) 2014-02-07 2015-08-18 아이엠이씨 브이제트더블유 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
CN105470120A (zh) * 2014-06-18 2016-04-06 上海华力微电子有限公司 多晶硅刻蚀方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478435B2 (en) * 2014-08-07 2016-10-25 Tokyo Electron Limited Method for directed self-assembly and pattern curing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160064239A1 (en) * 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9735028B2 (en) 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10068991B1 (en) 2017-02-21 2018-09-04 International Business Machines Corporation Patterned sidewall smoothing using a pre-smoothed inverted tone pattern
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11372332B2 (en) * 2018-10-26 2022-06-28 Tokyo Electron Limited Plasma treatment method to improve photo resist roughness and remove photo resist scum
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7229750B2 (ja) 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11537049B2 (en) * 2019-02-26 2022-12-27 Tokyo Electron Limited Method of line roughness improvement by plasma selective deposition
CN111627798B (zh) * 2019-02-28 2024-02-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023173217A1 (en) * 2022-03-17 2023-09-21 Photonic Inc. Systems and methods for trimming photonic integrated circuits

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
JP2005197349A (ja) * 2004-01-05 2005-07-21 Semiconductor Leading Edge Technologies Inc 微細パターン形成方法及び半導体装置の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308076A (ja) 2000-04-27 2001-11-02 Nec Corp 半導体装置の製造方法
JP3509761B2 (ja) * 2001-02-08 2004-03-22 株式会社半導体先端テクノロジーズ レジストパターン形成方法及び微細パターン形成方法
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US6946391B2 (en) * 2003-09-08 2005-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dual damascenes
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
DE102004019366B4 (de) * 2004-04-21 2006-05-04 Infineon Technologies Ag Schaltungsanordnung für einen breitbandigen Mischer mit Vorverzerrung und hoher Linearität und Verfahren zum breitbandigen Mischen
KR20060056025A (ko) * 2004-11-19 2006-05-24 삼성전자주식회사 포토레지스트의 변형을 방지할 수 있는 패터닝 방법
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7670760B2 (en) * 2006-03-06 2010-03-02 Freescale Semiconductor, Inc. Treatment for reduction of line edge roughness
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
JP2005197349A (ja) * 2004-01-05 2005-07-21 Semiconductor Leading Edge Technologies Inc 微細パターン形成方法及び半導体装置の製造方法

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010192750A (ja) * 2009-02-19 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置
US9390941B2 (en) 2009-11-17 2016-07-12 Hitachi High-Technologies Corporation Sample processing apparatus, sample processing system, and method for processing sample
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
JP5560285B2 (ja) * 2009-11-17 2014-07-23 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
JPWO2011062162A1 (ja) * 2009-11-17 2013-04-04 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
US9767987B2 (en) 2010-03-15 2017-09-19 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
JP2013527595A (ja) * 2010-03-15 2013-06-27 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオン注入を用いて基板のパターン化特徴を変更するための方法及びシステム
US8551689B2 (en) 2010-05-27 2013-10-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices using photolithography
US8513134B2 (en) 2010-07-21 2013-08-20 Kabushiki Kaisha Toshiba Semiconductor device producing method
JP2013546165A (ja) * 2010-10-01 2013-12-26 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レジストフィーチャの表面粗度を低減する方法、パターニングレジストフィーチャの粗度を修正する方法、および、レジストフィーチャを処理するシステム
JP2013542613A (ja) * 2010-10-27 2013-11-21 アプライド マテリアルズ インコーポレイテッド フォトレジスト線幅の荒れを制御するための方法及び装置
JP2012209290A (ja) * 2011-03-29 2012-10-25 Fujifilm Corp レジストパターン形成方法およびそれを用いたパターン化基板の製造方法
JP2014515889A (ja) * 2011-05-03 2014-07-03 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド レジスト形状におけるクリティカルディメンション及びラフネスの制御方法及び制御システム
US9337020B2 (en) 2012-06-25 2016-05-10 Tokyo Electron Limited Resist mask processing method using hydrogen containing plasma
JP2014007281A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd レジストマスクの処理方法
WO2014002808A1 (ja) * 2012-06-25 2014-01-03 東京エレクトロン株式会社 レジストマスクの処理方法
KR20150040854A (ko) * 2012-08-09 2015-04-15 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2014036139A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
TWI588890B (zh) * 2012-08-09 2017-06-21 Tokyo Electron Ltd 電漿蝕刻方法及電漿蝕刻裝置
KR102122203B1 (ko) * 2012-08-09 2020-06-12 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2014096500A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2015012178A (ja) * 2013-06-28 2015-01-19 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
TWI618145B (zh) * 2013-06-28 2018-03-11 東京威力科創股份有限公司 電漿蝕刻方法及電漿蝕刻裝置
JP2015115410A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 エッチング方法
JP2017147314A (ja) * 2016-02-17 2017-08-24 東京エレクトロン株式会社 パターン形成方法
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング

Also Published As

Publication number Publication date
JP5108489B2 (ja) 2012-12-26
KR100918864B1 (ko) 2009-09-28
TWI357622B (ja) 2012-02-01
US20080182419A1 (en) 2008-07-31
TW200845185A (en) 2008-11-16
KR20080067584A (ko) 2008-07-21
US8497213B2 (en) 2013-07-30

Similar Documents

Publication Publication Date Title
JP5108489B2 (ja) プラズマ処理方法
US9390941B2 (en) Sample processing apparatus, sample processing system, and method for processing sample
US9337020B2 (en) Resist mask processing method using hydrogen containing plasma
US10157750B2 (en) Plasma processing method and plasma processing apparatus
CN107431011B (zh) 用于原子层蚀刻的方法
US20130344702A1 (en) Method of etching silicon nitride films
JP2013542613A (ja) フォトレジスト線幅の荒れを制御するための方法及び装置
US9263284B2 (en) Line width roughness improvement with noble gas plasma
CN105190840A (zh) 用于多图案化应用的光调谐硬掩模
TWI703618B (zh) 用於圖案化具有所需尺度的材料層的方法
US20130267097A1 (en) Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
Iwase et al. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
KR101405239B1 (ko) 플라즈마 에칭 방법
US20150118854A1 (en) Molecular radical etch chemistry for increased throughput in pulsed plasma applications
US10607835B2 (en) Etching method
CN114885614B (zh) 用于蚀刻用于半导体应用的材料层的方法
JP5063535B2 (ja) プラズマ処理方法
US8937021B2 (en) Methods for forming three dimensional NAND structures atop a substrate
JP6329857B2 (ja) プラズマ処理方法
JP6158027B2 (ja) プラズマ処理方法
JP2010062212A (ja) 半導体装置の製造方法
KR20210110657A (ko) 플라스마 처리 방법
CN117253898A (zh) 一种半导体器件的制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121005

R150 Certificate of patent or registration of utility model

Ref document number: 5108489

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350