JP2017147314A - パターン形成方法 - Google Patents

パターン形成方法 Download PDF

Info

Publication number
JP2017147314A
JP2017147314A JP2016027600A JP2016027600A JP2017147314A JP 2017147314 A JP2017147314 A JP 2017147314A JP 2016027600 A JP2016027600 A JP 2016027600A JP 2016027600 A JP2016027600 A JP 2016027600A JP 2017147314 A JP2017147314 A JP 2017147314A
Authority
JP
Japan
Prior art keywords
acrylic resin
layer
pattern
resin layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016027600A
Other languages
English (en)
Other versions
JP6236481B2 (ja
Inventor
英民 八重樫
Hidetami Yaegashi
英民 八重樫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016027600A priority Critical patent/JP6236481B2/ja
Priority to TW106103794A priority patent/TWI773659B/zh
Priority to KR1020170018648A priority patent/KR20170096950A/ko
Priority to US15/430,640 priority patent/US10573530B2/en
Publication of JP2017147314A publication Critical patent/JP2017147314A/ja
Application granted granted Critical
Publication of JP6236481B2 publication Critical patent/JP6236481B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】得られるパターンのLERの値を低減できるパターン形成方法を提供すること。【解決手段】下地層の上に、アクリル樹脂層を形成する工程と、前記アクリル樹脂層の上に、中間層を形成する工程と、前記中間層の上に、パターン化されたEUVレジスト層を形成する工程と、前記EUVレジスト層をエッチングマスクとして、前記中間層及び前記アクリル樹脂層をエッチングすることにより、前記アクリル樹脂層にパターンを形成する工程と、前記アクリル樹脂層にパターンを形成する工程の後、前記EUVレジスト層及び前記中間層を除去する工程と、前記EUVレジスト層及び前記中間層を除去する工程の後、前記アクリル樹脂層の表面を平滑化する工程とを有する、パターン形成方法により上記課題を解決する。【選択図】図1

Description

本発明は、パターン形成方法に関する。
半導体装置の高集積化に伴って、製造プロセスに要求される配線や分離幅のパターンは、微細化される傾向にある。このような微細なパターンは、レジストパターンをエッチングマスクとして下地層をエッチングすることで形成される(例えば、特許文献1参照)。
レジストパターンは、例えば、下地層の上にレジスト層を形成し、フォトリソグラフィ技術を用いて所定形状にパターニングした後、例えば、プラズマエッチングを用いて表面を平滑化(スムージング)することで形成される。
また、所定形状にパターニングされたレジスト層の表面を平滑化する方法としては、プラズマエッチングに代えて、例えば、電子線や真空紫外光を照射することで、レジスト層に含まれるレジスト材料の主鎖を切断する方法が用いられる場合もある。
特開2011−060916号公報
しかしながら、従来のプラズマエッチングを用いて表面を平滑化する方法では、EUVレジストを用いる場合、得られるレジストパターンのLERの値について十分な低減効果が得られない。
また、電子線や真空紫外光を照射することで表面を平滑化する方法では、EUVレジストを用いる場合、EUVレジストの主鎖が切断されにくいため、得られるレジストパターンのLERの値について十分な低減効果が得られない。
そこで、本発明の一つの案では、得られるパターンのLERの値を低減できるパターン形成方法を提供することを目的とする。
上記目的を達成するため、本発明の一態様に係るパターン形成方法は、
下地層の上に、アクリル樹脂層を形成する工程と、
前記アクリル樹脂層の上に、中間層を形成する工程と、
前記中間層の上に、パターン化されたEUVレジスト層を形成する工程と、
前記EUVレジスト層をエッチングマスクとして、前記中間層及び前記アクリル樹脂層をエッチングすることにより、前記アクリル樹脂層にパターンを形成する工程と、
前記アクリル樹脂層にパターンを形成する工程の後、前記EUVレジスト層及び前記中間層を除去する工程と、
前記EUVレジスト層及び前記中間層を除去する工程の後、前記アクリル樹脂層の表面を平滑化する工程と
を有する。
開示のパターン形成方法によれば、得られるパターンのLERの値を低減することができる。
本実施形態のパターン形成方法を例示するフローチャート 本実施形態のパターン形成方法の各工程を説明する図(1) 本実施形態のパターン形成方法の各工程を説明する図(2) 本実施形態のパターン形成方法の各工程を説明する図(3) 本実施形態のパターン形成方法の各工程を説明する図(4) 本実施形態のパターン形成方法の各工程を説明する図(5) 本実施形態のパターン形成方法の各工程を説明する図(6) 本実施形態のパターン形成方法の作用・効果を説明する図
以下、本発明の実施形態について添付の図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することによって重複した説明を省く。
本実施形態のパターン形成方法は、極端紫外光(EUV:Extreme Ultra Violet)でパターン転写を行うEUVリソグラフィ技術により微細なパターンを形成するものであり、例えば、ArFリソグラフィの解像限界以下の微細なパターンを形成するものである。
本実施形態のパターン形成方法では、パターン化されたEUVレジスト層をエッチングマスクとしてアクリル樹脂層をエッチングすることでアクリル樹脂層にパターンを形成(転写)した後、アクリル樹脂層の表面を平滑化する。これにより、得られるパターンのLERの値を低減することができる。
以下では、EUVレジストを用いるパターン形成において、得られるパターンのLERの値を低減できる本実施形態のパターン形成方法について説明する。図1は、本実施形態のパターン形成方法を例示するフローチャートである。
図1に示すように、本実施形態のパターン形成方法は、アクリル樹脂層を形成する工程(ステップS101)、中間層を形成する工程(ステップS102)、パターン化されたEUVレジスト層を形成する工程(ステップS103)、アクリル樹脂層にパターンを形成する工程(ステップS104)、EUVレジスト層及び中間層を除去する工程(ステップS105)及びアクリル樹脂層の表面を平滑化する工程(ステップS106)を有する。
以下、各々の工程について、図2から図7に基づき説明する。図2から図7は、本実施形態のパターン形成方法の各工程を説明する図である。なお、図2から図7における(a)は各工程における概略斜視図であり、(b)は各工程における概略断面図である。
ステップS101では、アクリル樹脂層を形成する。具体的には、図2に示すように、下地層11の上に、例えばアクリル樹脂を含む溶液を塗布することにより、アクリル樹脂層12を形成する。なお、アクリル樹脂を含む溶液を塗布した後、溶媒を除去するためのプリベークを行ってもよい。アクリル樹脂としては、例えばポリメチルメタクリレート(PMMA)、ArFレジストを用いることができる。
ステップS102では、中間層を形成する。具体的には、図3に示すように、アクリル樹脂層12の上に、例えばスピン塗布により、中間層13を形成する。中間層13は、アクリル樹脂及びEUVレジストと相溶性を有しない材料により形成されていれば特に限定されない。中間層13としては、例えばスピンオンガラス(SOG:Spin-On Glass)、シリコン含有反射防止膜(SiARC:Silicon-containing Anti-Reflective Coating)を用いることができる。
ステップS103では、パターン化されたEUVレジスト層を形成する。具体的には、図4に示すように、中間層13の上に、例えばEUVレジストを含む溶液を塗布することにより、EUVレジスト層14を形成する。次いで、所定パターンを有するマスクを露光マスクとして、波長13.5nmのEUVによりEUVレジスト層14を露光し、現像することにより、EUVレジスト層14をパターニングする。これにより、パターン化されたEUVレジスト層14が形成される。なお、EUVレジストを含む溶液を塗布した後、露光する前に溶媒を除去するためのプリベークを行ってもよい。
ステップS104では、アクリル樹脂層にパターンを形成する。具体的には、図5に示すように、パターン化されたEUVレジスト層14をエッチングマスクとして、例えば反応性イオンエッチング(RIE:Reactive Ion Etching)等のドライエッチングにより、中間層13及びアクリル樹脂層12をエッチングする。これにより、アクリル樹脂層12にパターンが形成される。
ステップS105では、EUVレジスト層及び中間層を除去する。具体的には、図6に示すように、例えばフッ酸溶液に浸漬することにより、アクリル樹脂層12の上に残存しているEUVレジスト層14及び中間層13を除去する。
ステップS106では、アクリル樹脂層のパターン側面を平滑化する。具体的には、図7に示すように、アクリル樹脂層12に含まれるアクリル樹脂の主鎖を切断可能なエネルギーを付与し、アクリル樹脂の主鎖を切断することにより、アクリル樹脂層12の表面を平滑化(スムージング)する。例えば、アクリル樹脂層12に電子線(EB:Electron Beam)を照射することにより、アクリル樹脂の主鎖を切断してもよい。また、アクリル樹脂層12に波長が193nmや172nmの真空紫外光(VUV:Vacuum Ultra Violet)を照射することにより、アクリル樹脂の主鎖を切断してもよい。また、アクリル樹脂層12を所定温度に加熱することで、アクリル樹脂の主鎖を切断してもよい。
以上の工程により、所望のパターンを形成することができる。
次に、本実施形態のパターン形成方法の作用・効果について説明する。図8は、本実施形態のパターン形成方法の作用・効果を説明する図である。具体的には、図8(a)は、パターン化されたArFレジスト層に電子線(EB)を照射する前後のLERを示している。図8(b)は、パターン化されたArFレジスト層に電子線(EB)を照射する前後のラインの寸法(CD:Critical Dimension)を示している。
図8(a)に示すように、パターン化されたArFレジスト層に電子線を照射することで、LERの値を低減することができる。図8(a)では、パターン化されたArFレジスト層に電子線を照射することで、LERの値が約2.7nmから約2.2nmに低減した。これは、パターン化されたArFレジスト層に電子線を照射することで、ArFレジスト層に含まれるArFレジストの主鎖が切断され、ArFレジスト層が収縮し、ArFレジスト層の表面が平滑化したからであると考えられる。
図8(b)に示すように、パターン化されたArFレジスト層に電子線を照射することで、CDの値を低減することができる。図8(b)では、パターン化されたArFレジスト層に電子線を照射することで、CDの値が約50nmから約44nmに低減した。これは、パターン化されたArFレジスト層に電子線を照射することで、ArFレジスト層に含まれるArFレジストの主鎖が切断され、ArFレジスト層が収縮したからであると考えられる。
以上に説明したように、本実施形態のパターン形成方法では、パターン化されたEUVレジスト層14をエッチングマスクとしてアクリル樹脂層12をエッチングすることでアクリル樹脂層12にパターンを形成した後、アクリル樹脂層12の表面を平滑化する。これにより、得られるパターンのLERの値を低減することができる。
また、本実施形態のパターン形成方法では、アクリル樹脂層12にパターンを形成する際、アクリル樹脂層12の上面が中間層13で保護されているため、アクリル樹脂層12の上面がエッチングされることがない。これにより、パターン化されたアクリル樹脂層12の上面を平滑化することができる。
以上、本発明の好ましい実施形態について説明したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
11 下地層
12 アクリル樹脂層
13 中間層
14 EUVレジスト層

Claims (7)

  1. 下地層の上に、アクリル樹脂層を形成する工程と、
    前記アクリル樹脂層の上に、中間層を形成する工程と、
    前記中間層の上に、パターン化されたEUVレジスト層を形成する工程と、
    前記EUVレジスト層をエッチングマスクとして、前記中間層及び前記アクリル樹脂層をエッチングすることにより、前記アクリル樹脂層にパターンを形成する工程と、
    前記アクリル樹脂層にパターンを形成する工程の後、前記EUVレジスト層及び前記中間層を除去する工程と、
    前記EUVレジスト層及び前記中間層を除去する工程の後、前記アクリル樹脂層の表面を平滑化する工程と
    を有する、
    パターン形成方法。
  2. 前記アクリル樹脂層の表面を平滑化する工程は、前記アクリル樹脂層に含まれるアクリル樹脂の主鎖を切断する工程を含む、
    請求項1に記載のパターン形成方法。
  3. 前記アクリル樹脂の主鎖を切断する工程は、前記アクリル樹脂層に電子線又は紫外光を照射するものである、
    請求項2に記載のパターン形成方法。
  4. 前記アクリル樹脂の主鎖を切断する工程は、前記アクリル樹脂層を所定温度に加熱するものである、
    請求項2に記載のパターン形成方法。
  5. 前記アクリル樹脂層は、ポリメチルメタクリレート又はArFレジストにより形成されている、
    請求項1乃至4のいずれか一項に記載のパターン形成方法。
  6. 前記中間層は、アクリル樹脂及びEUVレジストと相溶性を有しない材料により形成されている、
    請求項1乃至5のいずれか一項に記載のパターン形成方法。
  7. 前記中間層は、スピンオンガラス又はシリコン含有反射防止膜により形成されている、
    請求項6に記載のパターン形成方法。
JP2016027600A 2016-02-17 2016-02-17 パターン形成方法 Active JP6236481B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2016027600A JP6236481B2 (ja) 2016-02-17 2016-02-17 パターン形成方法
TW106103794A TWI773659B (zh) 2016-02-17 2017-02-06 圖案形成方法
KR1020170018648A KR20170096950A (ko) 2016-02-17 2017-02-10 패턴 형성 방법
US15/430,640 US10573530B2 (en) 2016-02-17 2017-02-13 Pattern forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016027600A JP6236481B2 (ja) 2016-02-17 2016-02-17 パターン形成方法

Publications (2)

Publication Number Publication Date
JP2017147314A true JP2017147314A (ja) 2017-08-24
JP6236481B2 JP6236481B2 (ja) 2017-11-22

Family

ID=59560360

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016027600A Active JP6236481B2 (ja) 2016-02-17 2016-02-17 パターン形成方法

Country Status (4)

Country Link
US (1) US10573530B2 (ja)
JP (1) JP6236481B2 (ja)
KR (1) KR20170096950A (ja)
TW (1) TWI773659B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2021131299A1 (ja) * 2019-12-24 2021-07-01

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11714355B2 (en) * 2020-06-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04127157A (ja) * 1990-09-19 1992-04-28 Hitachi Ltd 半導体素子の製造方法
JPH06310468A (ja) * 1993-04-26 1994-11-04 Sony Corp ドライエッチング方法
JP2005243681A (ja) * 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
JP2008096880A (ja) * 2006-10-16 2008-04-24 Toppan Printing Co Ltd レジスト組成物
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法
JP2012220638A (ja) * 2011-04-06 2012-11-12 Panasonic Corp パターン形成方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4143023B2 (ja) * 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
JP3857692B2 (ja) * 2004-01-15 2006-12-13 株式会社東芝 パターン形成方法
BRPI0611029A2 (pt) * 2005-05-24 2010-08-10 Serono Lab derivados de tiazol e uso dos mesmos
JP2007140151A (ja) * 2005-11-18 2007-06-07 Renesas Technology Corp 微細パターン形成用材料、微細パターン形成方法、それを用いた電子デバイスの製造方法、およびそれにより製造された電子デバイス
US20070269749A1 (en) * 2006-05-18 2007-11-22 Richard Elliot Schenker Methods to reduce the minimum pitch in a pattern
US7432191B1 (en) * 2007-03-30 2008-10-07 Tokyo Electron Limited Method of forming a dual damascene structure utilizing a developable anti-reflective coating
JP2009271259A (ja) * 2008-05-02 2009-11-19 Fujifilm Corp レジストパターン用表面処理剤および該表面処理剤を用いたレジストパターン形成方法
EP2154329A1 (en) * 2008-08-11 2010-02-17 Services Pétroliers Schlumberger Movable well bore cleaning device
EP2239238A1 (en) * 2009-04-06 2010-10-13 AGC Glass Europe Glass article
JP5486883B2 (ja) 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP2012028431A (ja) * 2010-07-21 2012-02-09 Toshiba Corp 半導体装置の製造方法
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US20130189844A1 (en) * 2012-01-23 2013-07-25 Vigma Nanoelectronics Method to increase the pattern density of integrated circuits using near-field EUV patterning technique
JP5944302B2 (ja) * 2012-04-13 2016-07-05 富士フイルム株式会社 位相差フィルム、偏光板、及び液晶表示装置
JP2013235232A (ja) * 2012-04-13 2013-11-21 Fujifilm Corp 位相差フィルム、偏光板、及び液晶表示装置
US9086631B2 (en) * 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
JP2014160124A (ja) * 2013-02-19 2014-09-04 Tokyo Electron Ltd 半導体装置の製造方法及び半導体製造装置
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
JP6284849B2 (ja) * 2013-08-23 2018-02-28 富士フイルム株式会社 積層体
JP6159348B2 (ja) * 2014-02-14 2017-07-05 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、パターン形成方法、カラーフィルタの製造方法、固体撮像素子、および、画像表示装置
JP6239466B2 (ja) * 2014-08-15 2017-11-29 東京エレクトロン株式会社 半導体装置の製造方法
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
JP6394430B2 (ja) * 2015-02-13 2018-09-26 信越化学工業株式会社 化合物、高分子化合物、レジスト材料及びパターン形成方法
JP6431472B2 (ja) * 2015-12-24 2018-11-28 東京エレクトロン株式会社 パターン形成方法
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
JP6827372B2 (ja) * 2017-06-22 2021-02-10 東京エレクトロン株式会社 パターン形成方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04127157A (ja) * 1990-09-19 1992-04-28 Hitachi Ltd 半導体素子の製造方法
JPH06310468A (ja) * 1993-04-26 1994-11-04 Sony Corp ドライエッチング方法
JP2005243681A (ja) * 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
JP2008096880A (ja) * 2006-10-16 2008-04-24 Toppan Printing Co Ltd レジスト組成物
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法
JP2012220638A (ja) * 2011-04-06 2012-11-12 Panasonic Corp パターン形成方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2021131299A1 (ja) * 2019-12-24 2021-07-01
WO2021131299A1 (ja) * 2019-12-24 2021-07-01 国立研究開発法人産業技術総合研究所 有機修飾金属酸化物ナノ粒子、その製造方法、euvフォトレジスト材料およびエッチングマスクの製造方法
KR20220103762A (ko) * 2019-12-24 2022-07-22 내셔날 인스티튜트 오브 어드밴스드 인더스트리얼 사이언스 앤드 테크놀로지 유기 수식 금속 산화물 나노 입자, 그 제조 방법, euv 포토레지스트 재료 및 에칭 마스크의 제조 방법
JP7291428B2 (ja) 2019-12-24 2023-06-15 国立研究開発法人産業技術総合研究所 有機修飾金属酸化物ナノ粒子、その製造方法、euvフォトレジスト材料およびエッチングマスクの製造方法
KR102638489B1 (ko) * 2019-12-24 2024-02-21 내셔날 인스티튜트 오브 어드밴스드 인더스트리얼 사이언스 앤드 테크놀로지 유기 수식 금속 산화물 나노 입자, 그 제조 방법, euv 포토레지스트 재료 및 에칭 마스크의 제조 방법

Also Published As

Publication number Publication date
TW201740223A (zh) 2017-11-16
KR20170096950A (ko) 2017-08-25
TWI773659B (zh) 2022-08-11
US10573530B2 (en) 2020-02-25
JP6236481B2 (ja) 2017-11-22
US20170236720A1 (en) 2017-08-17

Similar Documents

Publication Publication Date Title
US6566280B1 (en) Forming polymer features on a substrate
JP5563544B2 (ja) 表面にリセスを形成する方法
JP4885930B2 (ja) リソグラフィによるダブルパターンニング方法
US7922960B2 (en) Fine resist pattern forming method and nanoimprint mold structure
JP4921898B2 (ja) 半導体素子の製造方法
KR101800996B1 (ko) 기판 상의 콘택 개구 패터닝 방법
US8822347B2 (en) Wet soluble lithography
WO2006057745A2 (en) Direct imprinting of etch barriers using step and flash imprint lithography
JP2009218574A (ja) パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
WO2016100705A1 (en) Method of forming a mask for substrate patterning
JP6236481B2 (ja) パターン形成方法
JP4939994B2 (ja) パターン形成方法及び半導体装置の製造方法
KR101096270B1 (ko) 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
EP1181714A1 (en) Method to produce high density memory cells and small spaces by using nitride spacer
US20150309414A1 (en) Method and tool of lithography
US20050123858A1 (en) Method for forming pattern and method for manufacturing semiconductor device
TW201312647A (zh) 形成蝕刻遮罩之方法
US20120214103A1 (en) Method for fabricating semiconductor devices with fine patterns
KR101023077B1 (ko) 마스크 패턴 형성 방법
KR100587611B1 (ko) 미세 패턴 형성 방법
KR100244765B1 (ko) 반도체 소자의 미세 패턴 방법
CN112530794A (zh) 一种光刻方法、半导体器件及其制作方法
TW201248685A (en) Method for via formation in a semiconductor device
KR20100059192A (ko) 반도체 소자의 더블 패터닝 방법
KR20010068582A (ko) 반도체 웨이퍼 패턴 형성 방법

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171030

R150 Certificate of patent or registration of utility model

Ref document number: 6236481

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250